1 January 2009 Mask characterization for double patterning lithography
Author Affiliations +
Abstract
Double patterning (DPT) lithography is seen industry-wide as an intermediate solution for the 32-nm node if high index immersion as well as extreme ultraviolet lithography are not ready for a timely release for production. Apart from the obvious drawbacks of the additional exposure, the processing steps, and the resulting reduced throughput, DPT possesses a number of additional technical challenges. This relates to, e.g., exposure tool capability, the actual applied process in the wafer fab, but also to mask performance and metrology. In this work we address the mask performance. To characterize the mask performance in an actual DPT process, conventional mask parameters need to be re-evaluated. Furthermore, new parameters might be more suitable to describe mask capability. This refers to, e.g., reticle to reticle overlay, but also to CD differences between masks of a DPT reticle set. For the 32-nm node, a DPT target of reticle to reticle induced overlay of 6 nm, 3σ at mask level, was recently proposed. We report on the performance of a two-reticle set based on a design developed to study the impact of global and local mask placement errors on double patterning using a dual-line process. In a first step we focus on reticle to reticle overlay based on conventional mask metrology. The overlay between two masks evaluated for standard wafer overlay targets is compared with measurements on actual resolution structures, contributions of displacements on different spatial scales are discussed, and mask to mask CD variations are addressed. In a second step, we compare reticle data with experimental intrafield overlay data obtained from wafers on an ASML XT:1700i using the IMEC dual-line double patterning process. Reticle to reticle overlay contribution is studied on the wafers for both standard overlay targets and dedicated DPT features. The results of this study show...
©(2009) Society of Photo-Optical Instrumentation Engineers (SPIE)
Karsten Bubke, Robert de Kruif, Jan Hendrik Peters, Mircea V. Dusa, and Brid Connolly "Mask characterization for double patterning lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 8(1), 011004 (1 January 2009). https://doi.org/10.1117/1.3023078
Published: 1 January 2009
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications and 14 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Reticles

Semiconducting wafers

Overlay metrology

Double patterning technology

Lithography

Image registration

RELATED CONTENT

In-die mask registration measurement on 28nm-node and beyond
Proceedings of SPIE (September 09 2013)
In-die mask registration for multi-patterning
Proceedings of SPIE (September 16 2013)
New directions in image placement metrology
Proceedings of SPIE (April 01 2011)
Evaluation of KLA Tencor LMS IPRO5 beta system for 22nm...
Proceedings of SPIE (October 13 2011)
Mask characterization for double patterning lithography
Proceedings of SPIE (October 30 2007)
Registration metrology on double patterning reticles
Proceedings of SPIE (May 27 2009)

Back to Top