Open Access
1 April 2010 New Prospect of Successors to ArF Water-Immersion Lithography
Author Affiliations +

Five editorials, or one and a half years ago, I wrote about the successors to ArF water-immersion lithography, extreme ultraviolet lithography (EUVL), multiple-e-beam maskless lithography (MEB ML2), and nanoimprint lithography (NIL) (JM3, Vol. 7, Issue 4, Editorial ). The motivation to adopt them is the high cost of pitch splitting at the peak 1.35 NA for water-immersion lithography.

On EUVL, I stated that there were a few countable obstacles to overcome, such as source power and efficiency, sensitive high-resolution low-edge-roughness resists, cost and size of the tool, wall power, mask blanks, mask inspection and repair, mask pellicles, mirror lifetime, stray light, and thermal management.

On MEB ML2, I stated that it was gaining some momentum, but was still a poor stepchild. For the first time, the cost burden of expensive optical components will be switched to data-handling electronics. Cost reduction dictated by Moore’s law can enable more cost reduction. Its own list of obstacles consists of cost, size, and thermal management of data handling, control of massive parallelism, data rate, data integrity, EMI shielding, beam consistency, and sensitive high-resolution low-edge-roughness resists.

On NIL, I mentioned its vulnerability to defects, short template life, handling of child and grandchild templates, slow molding process, and residual film uniformity.

One and half years have now gone by, enough time for almost one technology node. Has a successor emerged?

For EUV, the world will soon have six preproduction tools, rather than just two alpha tools. These preproduction tools were advertised for 60 wafers per hour (wph) at 10 mJ/cm2. The numerical aperture will be 0.25 with disk illumination. Obviously k1 is too low to catch up with DRAM manufacturing. Therefore, in another two years there is a promise of real production tools with 0.32 NA and off-axis illumination advertised for more than 150 wph at 15 mJ/cm2. However, the source power to support 60 wph at 10 mJ/cm2 is still not ready. All the concerns from 2008 are still there, despite improvements in resists and stray light. We now know that about 150 l/min of hydrogen is needed for Sn debris mitigation, three times more water than that for immersion tools has to be used for cooling, and a special $2 million crane is required to service each double row of EUV tools. Optical proximity correction is field dependent for the first time in the history of projection printing.

For MEB ML2, two MAPPER prealpha tools have been installed in the field, fighting to demonstrate a long list of feasibility items, despite demonstration of independent patterning of 110 beams, meeting control display unit specs, imaging in chemically amplified resists, and pattern delineation with e-beam proximity correction. A 49-subbeam scheme to meet the throughput target at existing source brightness has been announced. The list of concerns is shortened, but still not out of the woods. Financial and technical resources are still in need.

Several bright spots are incubating. For example, Intel has proposed complementary lithography using the readily available ArF immersion to delineate gratings and use either EUV lithography or MEB ML2 to cut the regular lines into usable circuit patterns. Of course, all contacts and vias can be patterned with MEB ML2 as proposed by many MEB advocates before. This way the demand of high-NA EUV tools is lessened. The throughput-limiting beam-current ceiling in MEB now has more room. The datapath also becomes simpler.

Nanoimprint lithography is getting closer to winning the race on patterned media for hard-disk fabrication. These defect-forgiving activities help to fund the semiconductor activities. Template life is still in no way near what is needed for high-volume semiconductor manufacturing. The need for generating child and grandchild templates is now ascertained. The cost of inspecting these descendents may become prohibitively expensive. On the throughput side, NIL has adopted the multibeam concept to increase throughput with multiple molding heads.

So, has a successor emerged? Not yet. But each technology is getting into more realistic details where the devil may reside. We need to move faster to overtake the pitch doubling/quadrupling activities using multiple exposures and spacers and before Moore’s law collapses due to economic factors.

Happy researching! Happy publishing! Happy reading!

020101_1_m1.jpg

©(2010) Society of Photo-Optical Instrumentation Engineers (SPIE)
Burn J. Lin "New Prospect of Successors to ArF Water-Immersion Lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 9(2), 020101 (1 April 2010). https://doi.org/10.1117/1.3454366
Published: 1 April 2010
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Nanoimprint lithography

Extreme ultraviolet lithography

Extreme ultraviolet

Photomasks

Inspection

Stray light

Back to Top