Open Access
12 August 2013 Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography
Andreas Frommhold, Dongxu Yang, Alexandra McClelland, Xiang Xue, Yasin Ekinci, Richard E. Palmer
Author Affiliations +
Abstract
With extreme ultraviolet lithography (EUVL) emerging as one of the top contenders to succeed from optical lithography for the production of next generation semiconductor devices, the search for suitable resists that combine high resolution, low line edge roughness (LER) and commercially viable sensitivity for high volume production is still ongoing. One promising approach to achieve these goals has been the development of molecular resists. Here we report our investigations into the EUV lithographic performance of a molecular fullerene resist showing resolution down to 20-nm half-pitch with interference lithography with a LER of <5  nm and sensitivity of about 20  mJ/cm 2.

1.

Introduction

With the advancement in next generation lithography (NGL) technology continuing, the progress in patterning capability is increasingly being limited by the lithographic performance of the photoresist. Current lithographic nodes already require line width roughness that is smaller than the radius of gyration of typical resist polymers.1 Further progress in the development of new resists is needed to enable the commercial production of semiconductors at the sizes mapped for the future. Low molecular weight resists, such as fullerenes,2,3 triphenylenes,4,5 molecular glasses,6,7 and inorganic resists,8,9 have been a focus of interest for NGL because their small size promises high resolution and small line edge roughness. But so far, no resist candidate has emerged that fulfills all the industry’s criteria.

We have previously reported on the performance of a fullerene derivative based three-component negative tone chemically amplified resist for e-beam lithography with low line edge roughness (LER) and high resolution capability,10,11 and on a positive tone variant of the fullerene resist.12 E-beam resists have often been shown to work in extreme ultraviolet lithography (EUVL) as well because of similarities in the exposure mechanisms in the resist. Therefore, we believe that this resist platform has a great potential for EUVL. Here we present recent results of our investigation into the EUVL performance of a fullerene derivative based resist system.13

2.

Experimental Methods

Fullerene derivatives for the resist were supplied by Irresistible Materials Ltd., United Kingdom Figure 1(a) shows the tert-butoxycarbonyl (tBOC) protected methyl phenolic malonate C60 derivative (IM-MFPT-12-21), and the tBOC protected propyl phenolic malonate C60 derivative (IM-MFPT-12-8, -12-19, -13-32, -13-33). An epoxy crosslinker (Araldite ECN 1299; Huntsman Advanced Materials, The Woodlands, Texas) [Fig. 1(c)] and triphenylsulfonium hexafluoroantimonate PAG (TPS-103; Midori Kagaku Co., Japan) [Fig. 1(d)] were added.

Fig. 1

(a) a tert-butoxycarbonyl (tBOC) protected methyl phenolic malonate C60, (b) a tBOC protected propyl phenolic malonate C60, (c) epoxy crosslinker, and (d) triphenylsulfonium hexafluoroantimonate photoacid generator.

JM3_12_3_033010_f001.png

Synthesis of the derivatives was achieved via the modified Bingel14,15 cyclopropanation reaction of a bismalonate ester, with the C60, to afford the methanofullerenes and is described in depth elsewhere (Yang et al., unpublished).

2.1.

IM-MFPT-12-8

In a round bottom flask, [60]fullerene (1 equivalent), 9,10-dimethylancethracene (22 equivalent) and toluene were added. The resulting solution was stirred for 1 h to completely dissolve the fullerene. Carbon tetrabromide (22 equivalent) and 3-(4-t-butoxycarbonyl)phenyl-1-propyl malonate (22 equivalents) were added to the solution. 1,8-Diazabicyclo[5.4.0]undec-7-ene (DBU) (108 equivalents) was added dropwise and the resulting mixture was stirred at room temperature overnight and the initial purple solution had become a dark red color. The crude mixture was poured through a silica plug in a sintered glass funnel and rinsed with toluene (4 L) to remove unreacted [60]fullerene and then rinsed with dichloromethane: ethyl acetate:methanol (221) to remove the red/brown band containing the crude products. The solvents were evaporated and the resulting residue [3-(4-t-butoxycarbonyl)phenyl-1-propyl malonate]-methano-[60]fullerene (dark red/brown oil) was obtained. H1 NMR (300 MHz, CDCl3): δ=6.90 to 7.70 (m), 0.9 to 4.1 (m), 1.55 (s). The product was characterized by matrix-assisted laser desorption/ionization mass spectrometry (MALDI MS). Major components were multiadduct fullerenes with n=4 to 6.

2.2.

IM-MFPT-12-19

IM-MFPT-12-19 was synthesized and purified as for IM-MFPT-12-8. The crude mixture was redissolved in methylene chloride at a concentration of 65g/L and processed by size exclusion chromatography (Phenogel SEC 300×7.8mm, 5 μm particle size, eluent: methylene chloride, 4mL/min). The material corresponding to 1000 to 3000 atomic mass unit (AMU) was collected and the solvent was evaporated to obtain a red oil. This material was characterized by MALDI MS.

2.3.

IM-MFPT12-21

In an 1-L round bottom flask, [60]fullerene (0.85 g, 1.2 mmol), 9,10-dimethylancethracene (2.62 g, 13 mmol, 11 equivalents) and toluene (500 mL) were added. The resulting solution was stirred for 1 h to completely dissolve the fullerene. Carbon tetrabromide (4.78 g, 13 mmol, 11 equivalents) and t-butoxycarbonyl malonate (6.6 g, 13 mmol, 11 equivalents) were added to the solution. DBU (8.3 mL, 53.2 mmol) was added dropwise and the resulting mixture was stirred at room temperature overnight and the initial purple solution had become a dark red color. The crude mixture was poured though a silica gel plug in a sintered glass funnel and rinsed with toluene to remove unreacted [60]fullerene. After that, the plug was rinsed with dichloromethane:ethyl acetate:methanol (221) to remove the red/brown band containing the crude products. The filtrate was evaporated and the resulting residue was purified via flash column chromatography with dichloromethane:ethyl acetate:methanol as eluent. (t-butoxycarbonyl malonate)-methano-[60]Fullerene (1.8 g, dark red/brown oil) was obtained. The oil was dissolved in methylene chloride at a concentration of 65g/L and processed by semi-preparative size exclusion chromatography (Phenogel SEC 300×7.8mm, 5 μm particle size, eluent: methylene chloride, 4mL/min). The material corresponding to 1000 to 3000 AMU was collected and the solvent was evaporated to obtain a red oil. This material was characterized by MALDI MS.

2.4.

IM-MFPT-13-32 and IM-MFPT-13-33

They were synthesized for IM-MFPT-12-8. Reaction crude mixture was poured through flash column chromatography using silica gel and washed with toluene to remove unreacted [60]fullerene and then two bands were obtained with different eluents: dichloromethane: ethyl acetate (11) and dichloromethane:ethyl acetate:methanol (221). The solvents were evaporated and the resulting two residues (IM-MFPT-13-32 and IM-MFPT-13-33, dark red/brown oil) were obtained. H1 nuclear magnetic resonance (NMR) (IM-MFPT-13-32, 300 MHz, CDCl3): δ=6.90 to 7.70 (m), 0.9 to 4.1 (m), 1.55 (s). H1 NMR (IM-MFPT-13-33, 300 MHz, CDCl3): δ=10.8(s), 6.90 to 8.70 (m), 0.9 to 4.1 (m), 1.55 (s). The products were also characterized by MALDI MS.

Silicon substrates of 18×18mm2 were prepared by dicing a 100-mm n-type, 100-silicon wafer (Rockwood Electronic Materials, France) using a Disco DAD 321 wafer dicer. The substrates were cleaned using semiconductor grade chemicals (Puranal, Sigma Aldrich). After dicing, the substrates were immersed in isopropyl alcohol (IPA) and placed in an ultrasonic bath for 10 min. The samples were then rinsed in flowing deionized (DI) water for 1 min (Purite Neptune, 18.2MΩcm) before being immersed in freshly prepared H2SO4 (95% to 98%): H2O2 (30%) [11] for 10 min. After another 1-min rinse in flowing DI water, the substrates were dipped for 3 min in a weak aqueous solution of hydrofluoric acid (0.1% to 1%) to form a hydrophobic surface, and finally a further 1-min rinse in flowing DI water. They were then dried with nitrogen and immediately coated with a fullerene containing underlayer, based on a thinned version of our previously reported spin-on-carbon,16,17 to provide a suitable surface for subsequent resist spinning. Substrates were then packaged in chip holders (Entegris H20) and shipped to the EUV testing facility within 3 days.

EUV exposures were performed using the interference lithography tool at the Paul Scherrer Institute (PSI), Switzerland.18 Solutions of resist were formulated at PSI by mixing the fullerene derivative with the crosslinker and photo acid generator at various ratios and concentrations, typically 1 part fullerene to 2 parts crosslinker and 1 part photoacid generator (PAG) by weight, in ethyl lactate or propylene glycol monomethyl ether. No other components (quencher etc.) were added. Resist was spun onto the substrates at 1000 to 2000 rpm for 60 s and received a postapplication bake of 75°C for 5 min. After the exposure, the samples were baked at 90°C for 3 min before being developed in monochlorobenzene (MCB):IPA [11] for 20 s followed by rinsing in IPA. Alternative developers, cyclohexanone and 2-heptanone, have also been used successfully, as discussed below.

Exposed samples were analyzed with a FEI XL30 SFEG scanning electron microscope (SEM) in top–down view. Critical dimension (CD) and LER were calculated from the SEM images with the commercial software package SuMMIT. Dose at the wafer was calibrated for each exposure run by using a reference resist as detailed elsewhere.16

3.

Results and Discussions

The exposure of numerous variants of the resist formulation showed that it is capable of high resolution. Examples of resist patterns with half-pitches down to 20 nm are shown in Fig. 2. The corresponding resist metrics are summarized in Table 1. The images suffer somewhat from low contrast, which is due to the necessary thinness of the resist film. We found that for aspect ratios significantly greater than 11, the resist is prone to pattern collapse at half-pitches >25nm. Nevertheless, there is a significant potential for improvement in the process of optimizing the synthesis of the fullerene material towards improvement of mechanical stability to allow for higher aspect ratios.

Fig. 2

Exposed fullerene resists at (a) 30-nm hp, (b) 25-nm hp, (c) 22-nm hp and (d) 20-nm hp.

JM3_12_3_033010_f002.png

Table 1

Resist characteristics at different half-pitch sizes.

Half-pitch30 nm25 nm22 nm20 nm
CD31.2 nm26.0 nm22.6 nm20.2 nm
LER5.45 nm4.68 nm4.79 nm5.65 nm
Dose20.5mJ/cm223.0mJ/cm225.2mJ/cm220.3mJ/cm2

We observed that adopting thinner films seemed to introduce further roughening in the line edge, as would be anticipated from previous results.19,20 Figure 3 shows two resist films patterned at 30-nm half-pitch. Figure 3(a) shows variant IM-MFPT12-19, spin coated from a 6.67g/L propylene glycol methyl ether (PGME) solution at 1000 rpm to give a film thickness of 20 nm, given a postapplication bake of 75°C for 5 min, exposed to EUV at 20mJ/cm2, given a postexposure bake of 90°C for 3 min and developed in MCB:IPA [11]. Figure 3(b) shows a very similar variant, IM-MFPT12-8, which is known to be marginally less sensitive to EUV than IM-MFPT12-19. In this case, the film concentration was 10g/L to give a film thickness of 40 nm, and the exposure dose was 30mJ/cm2, but conditions were otherwise the same. It can be seen that the LER is substantially higher in Fig. 3(a) than in Fig. 3(b). Therefore, increasing the resist thickness through improvement in the mechanical stability of the resist or pattern collapse mitigation should lead to improvement in LER at lower half-pitches.

Fig. 3

Comparison of line edge roughness (LER) for (a) 20 nm (LER=7.76nm) and (b) 40 nm (LER=2.05nm) thick films.

JM3_12_3_033010_f003.png

The CD versus dose and LER versus dose behavior for a 20-nm film of variant IM-MTFP12-21, exposed under the same conditions as IM-MFTP12-19 above are shown in Fig. 4(a) and 4(b), respectively. It can be seen that the LER is not significantly increased as the dose goes from 20 to 30mJ/cm2.

Fig. 4

(a) CD versus dose at two half-pitches, and (b) LER versus dose, for the IM-MFTP12-21 variant.

JM3_12_3_033010_f004.png

Sensitivity curves for two of the resist variants were also obtained by using an open frame with a square aperture rather than the metal gratings for exposure. From the curves shown in Fig. 5, it can be seen that depending on the synthesis conditions, the material shows a range of variation in sensitivity. Also illustrated is the effect on sensitivity when the two resist materials are used in combination by mixing them together. By adding together the low and high sensitivity materials, a resist with intermediate sensitivity is created.

Fig. 5

Fitted sensitivity curves for materials IM-MFTP13-32 and IM-MFTP13-33.

JM3_12_3_033010_f005.png

Different developers were tested, as our traditional developer MCB is not acceptable in industrial usage. The exposed material was found to develop as well in 2-heptanone, or in cyclohexanone, two known negative tone developers. A comparison of the developers is shown in Fig. 6 and the resist data are summarized in Table 2. From the table, it can be seen that the 2-heptanone produces smoother lines with a smaller LER but at the cost of a decreased sensitivity. Cyclohexanone shows the reverse characteristics with increased sensitivity at the expense of LER. This seems to suggest that 2-heptanone is a less aggressive developer with reduced solubility of the exposed resist material. The MCB lies on the middle ground in terms of resist performance with both sensitivity and LER lying in the range between the other two chemicals.

Fig. 6

Comparison of developers for a 22-nm hp pattern: (a) monochlorobenzene:isopropyl alcohol (MCB:IPA), (b) 2-heptanone and (c) cyclohexanone.

JM3_12_3_033010_f006.png

Table 2

Resist metrics for different developers.

DeveloperMCB:IPA2-heptanonecyclohexanone
CD22.3 nm21.9 nm22.4 nm
LER6.52 nm3.63 nm9.91 nm
Dose19.52mJ/cm229.83mJ/cm217.36mJ/cm2

4.

Conclusion

We have presented first results of the EUV exposure of a novel negative tone chemically amplified molecular fullerene resist. The use of fullerenes as resist material is attractive as they have a small molecule size that potentially helps to reduce LER. Furthermore, they have been shown to have a high etch resistance in plasma etching,21 an important factor as progress in lithography has made it necessary to reduce resist thickness. While it was initially surprising to see a tBOC protected phenol used in combination with an epoxy as a good negative tone resist, we have confirmed a significantly better performance than for the unprotected phenol variant of the phenolic fullerene. If the crosslinking component is removed, the negative tone behavior of the tBOC protected phenol is no longer observed, indicating that this is not a simple polarity switch. The mechanism of action is currently being elucidated using a model resist, but we speculate that the acid labile tBOC group is removed by the PAG-generated acid, with the epoxy reaction cationically catalyzed. Analysis of the lithographic performance of the fullerene resist has shown that it possesses resolution, and sensitivity within or close to the target values of the International Technology Roadmap for Semiconductors22 for 2016, but further work is ongoing to reduce LER in the thin films required for high resolution.

Acknowledgments

The authors thank the Engineering and Physical Sciences Research Council (EPSRC) for support of this project. The Disco DAD 321 wafer dicer used in this research was obtained through Birmingham Science City: Creating and Characterising Next Generation Advanced Materials, with support from Advantage West Midlands (AWM) and partly funded by the European Regional Development Fund (ERDF). The authors would like to thank M. Vockenhuber from PSI for her assistance with the EUV exposures. Part of this work was performed at Swiss Light Source (SLS), Paul Scherrer Institute, 5232 Villigen PSI, Switzerland.

References

1. 

R. L. Brainardet al., “Resists for next generation lithography,” Microelectron. Eng., 61–62 707 –715 (2002). http://dx.doi.org/10.1016/S0167-9317(02)00564-6 MIENEF 0167-9317 Google Scholar

2. 

F. P. Gibbonset al., “Fullerene resist materials for the 32 nm node and beyond,” Adv. Funct. Mater., 18 (13), 1977 –1982 (2008). http://dx.doi.org/10.1002/adfm.v18:13 AFMDC6 1616-3028 Google Scholar

3. 

J. Manyamet al., “Chemically amplified fullerene resists for e-beam lithography,” Proc. SPIE, 6923 69230M (2008). http://dx.doi.org/10.1117/12.772645 PSISDG 0277-786X Google Scholar

4. 

H. M. Zaidet al., “Chemical amplification of a triphenylene molecular electron beam resist,” Adv. Funct. Mater., 17 (14), 2522 –2527 (2007). http://dx.doi.org/10.1002/(ISSN)1616-3028 AFMDC6 1616-3028 Google Scholar

5. 

T. Tadaet al., “A triphenylene derivative as a novel negative/positive tone resist of 10 nanometer resolution,” Microelectron. Eng., 53 (1–5), 425 –428 (2000). http://dx.doi.org/10.1016/S0167-9317(00)00348-8 MIENEF 0167-9317 Google Scholar

6. 

R. A. LawsonL. M. TolbertC. L. Henderson, “Single component molecular resists containing bound photoacid generator functionality,” Proc. SPIE, 7273 72733C (2009). http://dx.doi.org/10.1117/12.814426 PSISDG 0277-786X Google Scholar

7. 

W. A. C. Baueret al., “Combinatorial optimization of a molecular glass photoresist system for electron beam lithography,” Adv. Mater., 23 (45), 5404 –5408 (2011). http://dx.doi.org/10.1002/adma.201103107 ADVMEW 0935-9648 Google Scholar

8. 

M. Trikeriotiset al., “A new inorganic EUV resist with high-etch resistance,” Proc. SPIE, 8322 83220U (2012). http://dx.doi.org/10.1117/12.916384 PSISDG 0277-786X Google Scholar

9. 

J. K. Stowerset al., “Directly patterned inorganic hardmask for EUV lithography,” Proc. SPIE, 7969 796915 (2011). http://dx.doi.org/10.1117/12.879542 PSISDG 0277-786X Google Scholar

10. 

J. Manyamet al., “Low activation energy fullerene molecular resist,” Proc. SPIE, 7273 72733D (2009). http://dx.doi.org/10.1117/12.814088 PSISDG 0277-786X Google Scholar

11. 

J. Manyamet al., “Characterization of the effects of base additives on a fullerene chemically amplified resist,” Proc. SPIE, 7639 76391N (2010). http://dx.doi.org/10.1117/12.846581 PSISDG 0277-786X Google Scholar

12. 

J. Manyamet al., “Positive tone chemically amplified fullerene resist,” Proc. SPIE, 8325 83251U (2012). http://dx.doi.org/10.1117/12.916472 PSISDG 0277-786X Google Scholar

13. 

A. Frommholdet al., “Chemically amplified fullerene resists, spin-on fullerene hardmasks and high aspect ratio etching,” in 12th IEEE Conf. Nanotechnology (IEEE-NANO), (2012). Google Scholar

14. 

C. Bingel, “Cyclopropanation of fullerenes,” Chem. Ber., 126 (8), 1957 –1959 (1993). http://dx.doi.org/10.1002/(ISSN)1099-0682 CHBEAM 0009-2940 Google Scholar

15. 

X. CampsA. Hirsch, “Efficient cyclopropanation of C60 starting from malonates,” J. Chem. Soc. Perkin Trans., 1 1595 –1596 (1997). http://dx.doi.org/10.1039/A702055D Google Scholar

16. 

A. Frommholdet al., “High aspect ratio etching using a fullerene derivative spin-on-carbon hardmask,” Proc. SPIE, 8328 83280U (2012). http://dx.doi.org/10.1117/12.916426 PSISDG 0277-786X Google Scholar

17. 

B. Päivänrantaet al., “Sub-10 nm patterning using EUV interference lithography,” Nanotechnology, 22 (37), 375302 (2011). http://dx.doi.org/10.1088/0957-4484/22/37/375302 NNOTER 0957-4484 Google Scholar

18. 

A. Frommholdet al., “Fullerene-based spin-on-carbon hardmask,” Microelectron. Eng., 98 552 –555 (2012). http://dx.doi.org/10.1016/j.mee.2012.07.019 MIENEF 0167-9317 Google Scholar

19. 

Y. Ekinciet al., “Evaluation of resist performance with EUV interference lithography for sub-22 nm patterning,” Proc. SPIE, 8322 83220W (2012). http://dx.doi.org/10.1117/12.916541 PSISDG 0277-786X Google Scholar

20. 

B. Cardineauet al., “LER limitations of resist thin films,” J. Photopolym. Sci. Technol., 25 (5), 633 –640 (2012). http://dx.doi.org/10.2494/photopolymer.25.633 JSTEEW 0914-9244 Google Scholar

21. 

J. Manyamet al., “Plasma etching of high-resolution features in a fullerene molecular resist,” Proc. SPIE, 7972 79722N (2011). http://dx.doi.org/10.1117/12.879469 PSISDG 0277-786X Google Scholar

22. 

International Technology Roadmap for Semiconductors (2013) http://www.itrs.net/Links/2012ITRS/Home2012.htm 6 ). 2013). Google Scholar

Biography

JM3_12_3_033010_d001.png

Andreas Frommhold graduated from the Ilmenau University of Technology with a Dipl. Ing. degree in electrical engineering in 2000. He obtained his PhD in electrical engineering in 2010 for work on nanostructure modification of neural implants at the University of Birmingham. He is currently a research fellow in the School of Chemical Engineering at the University of Birmingham. His research interests include chemically amplified molecular resists for electron beam and EUV lithography, as well as the development of nanomaterials for micro- and nanofabrication and plasma etching.

JM3_12_3_033010_d002.png

Dongxu Yang obtained his bachelor’s degree (2011) at Sichuan University (China), majoring in applied physics. He is currently undertaking doctoral study at the University of Birmingham (UK). His research has been focused on novel molecular resists for electron beam and extreme ultraviolet lithography.

JM3_12_3_033010_d003.png

Alexandra McClelland has been the general manager of Irresistible Materials since September 2011. As well as carrying out research into resist materials for commercial exploitation, she is responsible for driving technical development of resist technology. Previously, she was the lead scientist on a number of research programs for QinetiQ, the defense research company. Her core expertise is in the field of microelectromechanical (MEMS) sensor components such as magnetometers and accelerometers and in the realization of novel resonant MEMS structures. She spent significant time developing etching processes using statistical methodologies such as response surface methodology, specifically using deep dry etching. She subsequently expanded her expertise into novel device design and testing and became the technical lead and focus for several activities.

JM3_12_3_033010_d004.png

Xiang Xue Joined Nano-C in 2008, after receiving his PhD in organic chemistry from Boston College, where his work focused on polycyclic aromatic hydrocarbon synthesis and the mechanism of thermal cyclodehydrogenations. He has worked on organic, inorganic and polymer material syntheses for 12 years. He obtained his BS and MS in chemistry at Nanjing University, China. At Nano-C, his research is primarily focused on the preparation and functionalization of fullerenes and carbon nanotubes, and their applications. He has published 10 papers and has several patents pending.

JM3_12_3_033010_d005.png

Yasin Ekinci received his PhD from Max Planch Institute for Dynamics and Self Organization in Göttingen, Germany, in 2003. He worked as a postdoc at Paul Scherrer Institute, after which he was a lecturer at ETH Zurich. Since 2009, he has been a senior scientist at Paul Scherrer Institute. He is the manager of the XIL-II beamline at Swiss Light Source. He leads the nano-optics group in Laboratory for Micro- and Nanotechnology where he works on EUV interference lithography and nanooptics.

JM3_12_3_033010_d006.png

Richard E. Palmer is professor of experimental physics at the University of Birmingham and head of the Nanoscale Physics Research Laboratory. His research interests include atomic clusters, biochips, atomic manipulation, and nanofabrication. He obtained his first degree (1983) and PhD (1986) at Cambridge University, where he held 1851, Clare College, and Royal Society Research Fellowships. He has held visiting positions at Cornell, Oxford, andHarvard Universities and the Technical University of Denmark. He has been elected to honorary professorships at the University of Wales, Swansea, Harbin Institute of Technology, China, and the Petronas University of Technology, Malaysia. He was awarded an honorary doctoral degree (dr. h.c.) by Hasselt University, Belgium, in 2010 “for his pioneering work in nanoscale physics and his contributions to bridging the gap between nanoscience and nanotechnology.” In 2012, he was elected fellow of the Royal Society of Chemistry and won a senior EPSRC Fellowship.

JM3_12_3_033010_d007.png

Alex P. G. Robinson is a senior research fellow of the Science City Research Alliance at the Universities of Birmingham and Warwick. He obtained his PhD in 2000, for work on molecular resist materials done in the Nanoscale Physics Research Laboratory at University of Birmingham and the Joint Research Center for Atom Technology in Japan. His research interests have included modification of oxide surfaces using self assembled mono- and multilayers, coaxial field emission tips, nanostructured biosensors and bio interface surfaces, and resist properties of amorphous low molecular weight materials, low energy electron beam resists, chemically amplified molecular resists for electron beam and EUV lithography, and ICP etching. He is a chartered physicist, fellow of the Higher Education Academy, member of the Institute of Physics and member of SPIE.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Andreas Frommhold, Dongxu Yang, Alexandra McClelland, Xiang Xue, Yasin Ekinci, and Richard E. Palmer "Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 12(3), 033010 (12 August 2013). https://doi.org/10.1117/1.JMM.12.3.033010
Published: 12 August 2013
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 1 patent.
Advertisement
Advertisement
KEYWORDS
Fullerenes

Line edge roughness

Extreme ultraviolet lithography

Lithography

Semiconductors

Chromatography

Epoxies

Back to Top