Open Access
7 July 2015 Control of polystyrene-block-poly(methyl methacrylate) directed self-assembly by laser-induced millisecond thermal annealing
Author Affiliations +
Abstract
Directed self-assembly of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) during laser thermal annealing at peak temperatures of 300°C–800°C for dwells of 1–10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared with conventional thermal anneals. PS-b-PMMA films (forming 15-nm line/space standing lamellae) were cast on chemically patterned substrates with a copolymer neutral layer and annealed by laser and hot plate. Annealing by hot plate or multiple laser scans resulted in well-aligned features over micron length scales. By laser annealing multiple times, defectivity was reduced by ∼60%. However, laser annealing for only 10 ms before performing a hot plate anneal reduced defectivity by <80%. We believe that this reduction arises from improved interfacial alignment of the film to the template during laser annealing near the order–disorder transition.

1.

Introduction

Directed self-assembly (DSA) of block copolymers (BCPs) has emerged as a likely candidate to enable bottom up lithography for patterning at dimensions below 20 nm; however, defect density currently limits practical implementations. BCPs consisting of two or more covalently bonded immiscible polymers microphase segregate into domains with the geometry determined by the polymer composition. The alignment of phases, such as lamellae and cylinders, can be directed either chemically (chemoepitaxy) or physically (graphoepitaxy) to form intentional patterns.16 The polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) system has been extensively explored and has demonstrated the ability to register patterns via both chemoepitaxy and graphoepitaxy during thermal annealing at temperatures near 250°C in the minutes time frame. However, challenges remain with regard to defects, registered domain sizes and line-edge roughness.36 Although annealing for much longer periods improves patterning characteristics, throughput concerns remain. Use of higher temperatures on hot plate timescales to accelerate the alignment is limited by thermal degradation of the polymers.

Early efforts on DSA required thermal anneals for tens of hours at elevated temperatures.7 Refinements in methods have reduced annealing time to the minutes timescale5 at temperatures approaching the thermal degradation limit. BCP phase segregation has also been demonstrated using rapid thermal annealing on the 10 s time frame at 250°C8 and using multiple millisecond pulsed CO2 laser irradiations;9 these data suggest DSA could be viable at higher temperatures and correspondingly greater polymer mobility. Others have attempted to circumvent thermal annealing limits by incorporating solvents to swell the BCP film and increase polymer mobility,10,11 also potentially linked with hot plate12 or laser induced1315 heating to accelerate segregation and alignment.

We have previously demonstrated the ability to extend polymer processing to higher temperatures by limiting anneals to the millisecond time frame in a process known as laser spike annealing (LSA).16 For PS-b-PMMA, the processing window, prior to observable material loss, is extended to 850°C at 10 ms compared to 300°C in the seconds time frame. In this work, we utilize this extended process window to explore segregation of BCPs on millisecond time frames, and demonstrate the feasibility of using LSA for pattern registration and annealing of residual defects during DSA. Indeed, Li et al. suggest that defect annealing at lower χN values17 is potentially more effective due to lower energy barriers to defect annihilation. The high temperatures available to LSA enable access to this χN regime.

With the use of high temperature and a short time, thermal annealing can be independently used from, or in conjunction with, conventional thermal anneals. Utilizing LSA alone, the enhanced polymer chain mobility at high temperatures may enable rapid phase segregation and aligned pattern formation. The use of LSA after traditional hot plate processing may anneal out residual defects while maintaining the previously aligned regions. Finally, an initial high temperature anneal may enhance phase segregation and initial interfacial alignment to the directing template allowing for rapid structural development of the fully aligned pattern during a subsequent conventional thermal anneal.

2.

Experimental

2.1.

Laser Spike Annealing

Millisecond thermal annealing for shallow junctions, in the form of LSA, was originally developed to address issues involved in the activation and diffusion of dopants.18 LSA is achieved using a line focused continuous wave (CW) laser scanned over the sample, as shown in Fig. 1(a). Power absorbed in the substrate raises the film temperature on millisecond time frames followed by cooling at similar rates by thermal conduction into the substrate as the beam passes. The anneal time frame and temperature profile are controlled by the beam shape, power density and scan velocity of the beam. LSA can be characterized by a scaling parameter referred to as the dwell time, which is defined as the beam full width at half maximum of the laser in the scan direction (X) divided by the scan velocity. Typical dwell times range from 250μs to 10 ms.

Fig. 1

(a) Schematic representation of the laser spike annealing (LSA) process. Laser is scanned along the X direction, while the peak temperature reached along the Y direction follows a Gaussian-like profile. (b) Representative empirical temporal temperature profile for a 1-ms dwell LSA showing rapid heating and quenching rates on the order 105K/s.

JM3_14_3_031205_f001.png

Figure 1(b) shows the temperature as a function of time experienced by a sample during a 1-ms dwell LSA anneal. Though intrinsically transient in nature, the sample temperature remains within 5% of the peak temperature for approximately the dwell time followed by rapid quenching at 105K/s. From the peak, samples cool to approximately half the peak temperature in 1.5 dwell times, and reach room temperature within 10 dwell times. Although polymers are generally poor thermal conductors (DT104 to 103cm2/s), even in polymers the characteristic thermal diffusion distance for a 1 ms dwell is >3μm resulting in complete equilibration through the 100nm thick films. The laser source was either a CO2 laser (λ=10.6μm) or a diode laser (λ=980nm). For the CO2 source, silicon wafers were heavily doped (0.010.02Ω-cm) to ensure complete absorption of the 10.6μm light. For the diode laser source, doped and undoped substrates were used. Temperatures were calibrated to gold and silicon melting points and measured using thin film thermistors.19

2.2.

Block Copolymer Phase Segregation Samples

For preliminary studies of BCP phase segregation, cylinder-forming PS-b-PMMA (Mn=57-b-25kg/mol) was obtained from Polymer Source, Inc. (P8269-SMMA) and was used as received. As the bare silicon surface is preferentially wetted by the PMMA block, a cylinder-forming morphology was chosen in order to show features regardless of BCP phase orientation. The polymer was dissolved in toluene to create a 2 wt.% solution and spun coat to a target thickness of 100 nm on highly doped bare silicon (native oxide). No attempt was made to match the thickness to a multiple of the BCP periodicity; for these samples, we were interested only in identifying the phase segregation. As-spun samples were annealed at temperatures from 300°C to 620°C for dwell times from 250μs to 10 ms utilizing the CO2 laser. For comparison purposes, control samples were annealed in a vacuum oven at 180°C for 24 h.

Scanning electron microscopy (SEM) images were obtained both directly after annealing and after a short oxygen plasma etch to enhance contrast. Film morphologies were independent of the etch time indicating uniformity through the film thickness, and subsequent images were generally taken after a 15-s oxygen plasma etch to preferentially remove the PMMA block.

2.3.

Directed Self-Assembly Defectivity Samples

In addition to the undirected cylinder-forming BCP samples above, DSA alignment studies were performed using lamellae forming PS-b-PMMA films obtained from EMD Performance Materials (Merck KGaA). The molecular weight of these films was adjusted to achieve a 15-nm half-pitch. The films were coated on silicon substrates with a PS-r-PMMA random copolymer neutral layer to promote the out of plane orientation. In DSA areas, a 45-nm half-pitch directing chemical template was lithographically patterned to direct assembly of 15nmlines/spaces at 3× density multiplication as described by Liu et al.20,21 A standard 2-min hot plate anneal at 250°C in air was used as the reference annealing condition. LSA samples were annealed using a 980-nm diode laser with a 10-ms dwell at peak temperatures from 300°C to 800°C.

SEM images were obtained after a 4-s oxygen plasma etch to preferentially remove the PMMA block and increase contrast. To reduce sample charging, a thin Au-Pd film was deposited. The areal fraction of completely aligned domains after DSA was determined using a custom ImageJ22 macro on typically 120μm2 areas. For the reference hot plate anneals, multiple 120μm2 areas were imaged to estimate statistical variations in the alignment fraction.

3.

Results and Discussion

3.1.

Block Copolymer Phase Segregation under Laser Spike Annealing

Cylinder-forming PS-b-PMMA films on unpatterned substrates were annealed by LSA to peak temperatures of 300°C to 550°C with a 10-ms dwell. SEM images of the films after annealing are shown in Fig. 2. As-spun films (not shown) are featureless; however, it is likely that some initial composition fluctuations exist after spin coating and solvent evaporation on the seconds timescale. Clear BCP phase segregation into the expected cylindrical morphology is observed even at the lowest temperature of 300°C [Fig. 2(a)]. With increasing temperature under LSA, the segregation becomes increasingly pronounced. At 420°C [Fig. 2(d)], the film shows in-plane and out-of-plane cylinders exhibiting the expected mixed morphology with cylinder size and spacing that are nearly identical to that observed for oven annealed samples at 180°C for 24 h [Fig. 3(g)].

Fig. 2

Cylinder-forming PS-b-PMMA films laser annealed for 10 ms to peak temperatures of (a) 300°C, (b) 350°C, (c) 400°C, (d) 420°C, (e) 450°C, (f) 500°C, and (g) 550°C.

JM3_14_3_031205_f002.png

Fig. 3

Isothermal segregation behavior of cylinder-forming PS-b-PMMA as a function of dwell time at 420°C for (a) 250μs, (b) 500μs, (c) 1 ms, (d) 2.5 ms, (e) 5 ms, and (f) 10 ms. (g) Reference oven annealed substrate for 24 h at 180°C in vacuum.

JM3_14_3_031205_f003.png

Above 420°C, however, the morphology changes markedly into a structure that is independent of any further increases in the annealing temperature. These data then suggest that 420°C marks the order–disorder transition (ODT) temperature (TODT) of these films under LSA conditions. During the time that the temperature is above TODT, the existing order in the film is lost and the subsequent rapid quench permits development of only a limited morphology before being kinetically frozen. As the quench rate is changed with the LSA dwell (over a factor of 100), the onset of this transition occurs at the same temperature, but the “spot” size of the morphology scales inversely with the quench rate.23 While the peak temperature reached in Figs. 2(e)2(g) varies significantly, the quench rate changes only over a range from 2.3×104 to 2.8×104K/s, yielding comparable morphologies.

Estimation of the expected TODT from literature values is challenging. The often reported critical χNODT=10.5 for phase segregation must be corrected for asymmetric BCP composition, finite chain length and fluctuation effects.2426 Applying these corrections, we expect this cylinder-forming polymer to segregate at χN21, or χ0.025. Literature values for χ vary widely with some authors suggesting that the χ never falls below this critical χ=0.025 value for any temperature.2730 Measurements by other authors suggest TODT to be between 330°C and 530°C.31,32 While these values neglect film thickness effects,33 the correction is expected to be small relative to the large difference in reported χ values.

To explore the limits of phase segregation under LSA, isothermal studies at a constant peak temperature, just below the 420°C TODT, were performed at varying dwell times from 250μs to 10 ms [Figs. 3(a)3(f)]. These data show that phase segregation requires 2–5 ms for this molecular weight, with longer dwells allowing the segregation pattern to be further refined. For peak temperatures below TODT, multiple LSA passes are effectively equivalent to increasing the dwell time, with patterns becoming increasingly pronounced after each scan.

3.2.

Ordering and Directed Self-Assembly under Laser Spike Annealing

Having demonstrated that BCP segregation occurs on LSA timescales in these cylinder-forming films, we also evaluated the behavior of the lamellar forming templated BCP samples for DSA. Self-assembly and registration to a directing pattern may require longer duration or multiple LSA anneals due to the small driving force directing pattern formation. Under a similar analysis for the ODT, these lamellar forming DSA films are expected to have a TODT between 200°C and 700°C.3032 Based on our experimental measurement, the effective TODT in directed regions appears to be between 650°C and 670°C.

Figure 4 compares the hot plate and LSA annealed DSA structures for the 15-nm half pitch lamellar polymer. Under hot plate annealing for 2 min at 250°C, the pattern density multiplication is well-developed though a significant fraction of the area remains defective [Fig. 4(a)]. Figure 4(b) shows the morphology developed after a single 10-ms LSA scan at 480°C. While phase segregation has occurred, little or no alignment is observed by top view SEM. This alignment can be improved using multiple LSA scans below the TODT, though accumulated damage limits the peak temperature for multiple scans. Figure 4(c) shows the substantial alignment that can be achieved for samples annealed with 100 scans at 450°C with a 10-ms dwell. Indeed, the alignment is improved relative to the hot plate anneal with 60% reduced defectivity in larger comparable images. This suggests that alignment and defect reduction are possible on a 1-s time frame at temperatures near 450°C. However, the large number of LSA scans required makes this process impractical on a manufacturing scale.

Fig. 4

Directed self-assembly (DSA) alignment of lamellar PS-b-PMMA formed during (a) hot plate annealing for 2 min at 250°C in air, (b) 10-ms LSA at 480°C, and (c) 10-ms LSA at 450°C repeated for 100 scans.

JM3_14_3_031205_f004.png

3.3.

Hot Plate Anneal Followed by Laser Spike Annealing

From as-spun films, LSA requires multiple scans to develop the full DSA alignment. However, the high temperature of the LSA, with the dramatically enhanced polymer chain mobility, may be sufficient to anneal defects in local areas following the initial alignment achieved during a hot plate anneal. DSA samples were annealed for 2 min at 250°C followed by single or multiple LSA scans. To correct for systematic variations in the DSA alignment layer, quantitative measurements of the initial alignment were taken in areas outside the LSA affected zone. Changes in the alignment between these non-LSA areas and the LSA affected zones are reported. A representative image from the non-LSA area [Fig. 5(a)] shows an alignment of 74%. Based on multiple images in non-LSA areas, the variation in aligned area fraction between different areas on the same substrate was determined to be ±2%, while substrate-to-substrate variability produced baseline alignment from 60% to 80%.

Fig. 5

SEM images of lamellar PS-b-PMMA DSA with superimposed shading of aligned regions. Insets are low magnification images with >120μm2 areas used to quantify the aligned area fraction. (a) Standard hot plate anneal for 2 min at 250°C in air, (b) LSA only at 500°C for 10 ms, (c) standard hot plate anneal with subsequent LSA at 500°C for 10 ms, and (d) LSA for 10 ms at 510°C prior to a standard hot plate anneal.

JM3_14_3_031205_f005.png

Upon annealing once via LSA at up to 700°C for 10 ms, any improvement is minimal as shown in Fig. 5(c). The alignment after annealing is 70% and is statistically indistinguishable from the control area at 68%. Multiple LSA scans after the standard hot plate anneal (not shown) provide statistically significant reductions in defectivity. After 10 passes at 590°C, alignment increases to 80% compared to a 62% baseline, representing a 45% relative reduction in defective area.

3.4.

Laser Spike Annealing Followed by Hot Plate Annealing

Since no alignment was observed for a single LSA scan on an as-spun film [Fig. 5(b)], LSA before a hot plate anneal was expected to have little effect. However, the order of annealing is critical as LSA dramatically improved the resulting film quality. Figure 5(d) shows a film annealed initially to 510°C for 10 ms by LSA followed by the standard hot plate anneal for 2 min at 250°C in air. The aligned area fraction increased from 74% in control areas [Fig. 5(a)] to greater than 95%, an 80% relative reduction in defective area. Although LSA does not provide sufficient time at temperature to fully align the film, modifications of the initial structure, most likely at the polymer-directing template interface, during the short, high temperature anneal are effective in enabling higher fidelity pattern formation under a subsequent low temperature anneal.

It is critical to examine the effect of the LSA temperature on this two-step annealing alignment process. To follow the annealing behavior as a function of the peak LSA temperature, SEM images were taken orthogonal to the laser scan direction, i.e., across the Gaussian-like laser intensity profile. This permitted comparison of nominally identically treated samples where only the peak temperature was changed. Figure 6 shows the aligned area fraction as a function of this peak LSA temperature. At low temperatures, the alignment after all processes is equivalent to hot plate only annealing with 74% alignment. As the LSA temperature is increased to 500°C, the aligned area fraction increases to a peak of 95.6% [Fig. 5(d)]. At higher temperatures, the alignment is reduced and indeed drops below the standard hot plate anneal for LSA temperatures above 650°C, near the observed TODT of 660°C.

Fig. 6

Temperature dependence of DSA alignment for 10 ms LSA preannealed substrates. Solid line is a guide to the eye only.

JM3_14_3_031205_f006.png

The decrease in alignment at the highest temperatures does not appear to be due to film loss or damage. The stability of these BCP films was determined by measuring the film thickness as a function of the peak annealing temperature. For single LSA scans, no measurable thickness loss was observed up to 850°C for a 10-ms dwell LSA. In addition, films annealed at 800°C by LSA and subsequently annealed on the hot plate continued to form the expected 15nmlines/spaces with no visible change in color or texture. While it is possible that the high LSA temperatures may have disturbed the underlying directing pattern, we believe this to be unlikely. First, the BCP itself is stable against thermal degradation up to 850°C. Second, the cross-linked polystyrene guide stripes were still visible in SEM at temperatures above 1000°C, though the origin of the contrast is not known. In addition, modification of defectivity cannot be a simple thermal diffusion effect as LSA after the hot plate has only minimal impact on pattern alignment. If the defect reduction process were purely thermal, that is, only dependent upon polymer diffusion, hot plate and LSA treatments would be additive and scale with the effective diffusion distance Dt, with the final result being independent of the annealing order.

Consequently, we believe both the enhanced alignment and loss of alignment at higher temperatures are due to changes in the initial ordering of the BCP film developed during LSA prior to the hot plate anneal. Ordering behavior of the BCP under spin coating and subsequent thermal processing may explain this behavior. The driving force for phase segregation impacts the film structure starting at the spin coating step. During spin coating, the polymer will develop composition fluctuations while quenching from the swollen solvated state to the kinetically trapped thin film. These composition fluctuations are not fully developed nor completely aligned to the directing template due to the limited evaporation time. It is likely, however, that a preferential texturing to the directing template does develop nuclei which then refine during the hot plate temperature ramp. Phase segregation will be essentially complete during the ramp to the hot plate temperature since only tens of milliseconds are required at 250°C. Imperfections in this initial alignment then must be annealed out during the remaining anneal time as large-scale polymer motion establishes the full DSA alignment.

An apparent ODT was observed in the DSA films above 660°C, similar to that observed in the kinetics studies (Fig. 2). Approaching the ODT, polymer mobility across the segregated interfaces is greatly enhanced and enables realignment of nucleated phase regions to the directing template which is ultimately reflected in the lower defectivity after a hot plate anneal. In contrast, heating far above the ODT and then quenching leaves little time for realignment of nuclei to the directing template. This is then exhibited as a greater defect density, larger even than that which develops from the as-spun samples with partial preferential alignment. The most efficient alignment is expected to occur just below TODT where the polymer has enhanced mobility but retains defined domains which preferentially align to the directing template.

Majewski et al. recently published work suggesting that BCP alignment could be induced along thermal gradients via a “cold zone annealing” process.15 With thermal gradients up to 4K/μm, alignment was achieved in 49.1kg/mol cylinder-forming PS-b-PMMA for laser irradiation to maximum temperatures near 600°C. While the present work is similar in many respects, there are also significant differences and we believe the alignment development is related to the thermal quench rate through an order–disorder transition rather than as a result of the relatively small spatial thermal gradients. Compared to Majewski’s work, the thermal gradients during LSA annealing are actually quite similar and are set primarily by the focus of the line beam, ranging from 10K/μm in the scan direction (X) for irradiation with the CO2 laser (250μs to 10 ms dwells) to 1K/μm for the diode laser (10 ms dwell) irradiation. However, gradients along the long axis of the line beam (Y) are reduced by at least an order of magnitude. In contrast, the temporal gradients in this work are orders of magnitude larger reaching nearly 106K/s for the shortest dwells.

Despite the large asymmetry in the thermal gradients for the line scan beam, both lamellar and cylindrical forming BCP samples, annealed in the absence of any directing template, exhibited no preferential alignment relative to the scan direction. Similarly, no differences were observed for scanning templated DSA samples either along or perpendicular to the directing template [Fig. 5(b)]. This is not overly surprising given that the spatial gradients, on the length scale of the 15-nm phase segregation, are quite small (<0.01K/nm) and variations in the local driving force are unlikely to be sufficient to induce long range ordering. In contrast, the morphology development observed in Fig. 3 clearly shows variation for changing thermal quench rates under relatively constant thermal gradient conditions. Thus, we conclude that the quench rate is the critical parameter controlling the segregation and ordering kinetics of these BCP films.

4.

Conclusions

The phase segregation and DSA behavior of PS-b-PMMA at elevated temperatures in the millisecond time frame is reported. Under LSA annealing, BCP phase segregation for 82kg/mol cylinder-forming PS-b-PMMA was shown to occur on 2–5 ms timescales at 420°C. Above 420°C, the segregation behavior changes markedly suggesting an ODT in the millisecond time frame.

While single LSA scans do not provide sufficient time at temperature to achieve full DSA alignment, multiple scans at 450°C for 10 ms resulted in films with reduced defectivity compared to hot plate annealing alone. When combined with a hot plate anneal, LSA after a hot plate anneal was shown to be effective in annealing out residual defects. After 10 passes at 590°C with a 10-ms dwell, defectivity was reduced from 36% to 20%.

A greater improvement in defectivity was achieved by using a single LSA scan prior to the conventional hot plate anneal. Utilizing a single 10-ms LSA scan at 510°C followed by a standard hot plate anneal, the overall defectivity was reduced by >80%, with the aligned area fraction increasing from 74% to 95.6%. A maximum in overall defectivity reduction was observed for LSA anneals near 500°C. The correlation of this observation with an ODT near 660°C strongly suggests that initial nucleation of phase segregation and subsequent alignment are critical in determining the ultimate DSA alignment fidelity after LSA and hot plate anneals.

Acknowledgments

The authors wish to thank Guanyang Lin of EMD Performance Materials (Merck KGaA) for DSA templated samples and professor Uli Wiesner for useful discussions and use of equipment. Work was performed in part at the Cornell NanoScale Facility (CNF), a member of the National Nanotechnology Infrastructure Network, which is supported by the National Science Foundation (Grant No. ECCS-0335765) and made use of the Cornell Center for Materials Research (CCMR) shared facilities which are supported through the NSF MRSEC program (No. DMR-1120296).

References

1. 

M. P. Stoykovich and P. F. Nealey, “Block copolymers and conventional lithography,” Mater. Today, 9 (9), 20 –29 (2006). http://dx.doi.org/10.1016/S1369-7021(06)71619-4 Google Scholar

2. 

J. Y. Cheng et al., “Templated self-assembly of block copolymers: top-down helps bottom-up,” Adv. Mater., 18 (19), 2505 –2521 (2006). http://dx.doi.org/10.1002/adma.200502651 ADVMEW 0935-9648 Google Scholar

3. 

P. A. R. Delgadillo et al., “Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment,” J. Micro/Nanolith. MEMS MOEMS, 11 (3), 031302 (2012). http://dx.doi.org/10.1117/1.JMM.11.3.031302 Google Scholar

4. 

S. Ji et al., “Domain orientation and grain coarsening in cylinder-forming poly(styrene-b-methyl methacrylate) films,” Macromolecules, 44 (11), 4291 –4300 (2011). http://dx.doi.org/10.1021/ma2005734 MAMOBX 0024-9297 Google Scholar

5. 

A. M. Welander et al., “Rapid directed assembly of block copolymer films at elevated temperatures,” Macromolecules, 41 (8), 2759 –2761 (2008). http://dx.doi.org/10.1021/ma800056s MAMOBX 0024-9297 Google Scholar

6. 

C. M. Bates et al., “Block copolymer lithography,” Macromolecules, 47 (1), 2 –12 (2014). http://dx.doi.org/10.1021/ma401762n MAMOBX 0024-9297 Google Scholar

7. 

S. Xiao et al., “Graphoepitaxy of cylinder-forming block copolymers for use as templates to pattern magnetic metal dot arrays,” Nanotechnology, 16 (7), S324 –S329 (2005). http://dx.doi.org/10.1088/0957-4484/16/7/003 NNOTER 0957-4484 Google Scholar

8. 

F. F. Lupi et al., “Rapid thermal processing of self-assembling block copolymer thin films,” Nanotechnology, 24 (31), 315601 (2013). http://dx.doi.org/10.1088/0957-4484/24/31/315601 NNOTER 0957-4484 Google Scholar

9. 

J.-L. Tang and M.-A. Tsai, “Rapid formation of block copolymer thin film based on infrared laser irradiation,” in Proc. 2007 Conf. on Lasers and Electro-Optics - Pacific Rim, 17 –18 (2007). Google Scholar

10. 

J. K. Bosworth, C. T. Black and C. K. Ober, “Selective area control of self-assembled pattern architecture using a lithographically patternable block copolymer,” ACS Nano, 3 (7), 1761 –1766 (2009). http://dx.doi.org/10.1021/nn900343u ANCAC3 1936-0851 Google Scholar

11. 

M. Y. Paik et al., “Reversible morphology control in block copolymer films via solvent vapor processing: an in situ GISAXS study,” Macromolecules, 43 (9), 4253 –4260 (2010). http://dx.doi.org/10.1021/ma902646t MAMOBX 0024-9297 Google Scholar

12. 

K. W. Gotrik and C. A. Ross, “Solvothermal annealing of block copolymer thin films,” Nano Lett., 13 (11), 5117 –5122 (2013). http://dx.doi.org/10.1021/nl4021683 NALEFD 1530-6984 Google Scholar

13. 

A. G. Jacobs et al., “Control of PS-b-PMMA directed self-assembly registration by laser induced millisecond thermal annealing,” Proc. SPIE, 9049 90492B (2014). http://dx.doi.org/10.1117/12.2046513 Google Scholar

14. 

J. P. Singer et al., “Alignment and reordering of a block copolymer by solvent-enhanced thermal laser direct write,” Polymer, 55 (7), 1875 –1882 (2014). http://dx.doi.org/10.1016/j.polymer.2014.02.028 POLMAG 0032-3861 Google Scholar

15. 

P. W. Majewski and K. G. Yager, “Millisecond ordering of block copolymer films via photothermal gradients,” ACS Nano., 9 (4), 3896 –3906 (2015). http://dx.doi.org/10.1021/nn5071827 ANCAC3 1936-0851 Google Scholar

16. 

B. Jung et al., “Kinetic rates of thermal transformations and diffusion in polymer systems measured during sub-millisecond laser-induced heating,” ACS Nano., 6 (7), 5830 –5836 (2012). http://dx.doi.org/10.1021/nn300008a ANCAC3 1936-0851 Google Scholar

17. 

W. Li et al., “Defect removal in the course of directed self-assembly is facilitated in the vicinity of the order-disorder transition,” Phys. Rev. Lett., 113 (16), 1 –5 (2014). http://dx.doi.org/10.1103/PhysRevLett.113.168301 PRLTAO 0031-9007 Google Scholar

18. 

T. Yamamoto et al., “Junction profile engineering with a novel multiple laser spike annealing scheme for 45-nm node high performance and low leakage CMOS technology,” 143 –146 (2007). Google Scholar

19. 

K. Iyengar et al., “Experimental determination of thermal profiles during laser spike annealing with quantitative comparison to 3-dimensional simulations,” Appl. Phys. Lett., 100 (21), 211915 (2012). http://dx.doi.org/10.1063/1.4717745 APPLAB 0003-6951 Google Scholar

20. 

C.-C. Liu et al., “Integration of block copolymer directed assembly with 193 immersion lithography,” J. Vac. Sci. Technol. B, 28 (6), C6B30 (2010). http://dx.doi.org/10.1116/1.3501348 Google Scholar

21. 

C.-C. Liu et al., “Towards an all-track 300 mm process for directed self-assembly,” J. Vac. Sci. Technol. B, 29 (6), 06F203 (2011). http://dx.doi.org/10.1116/1.3644341 Google Scholar

22. 

C. A. Schneider, W. S. Rasband and K. W. Eliceiri, “NIH Image to ImageJ: 25 years of image analysis,” Nat. Methods, 9 (7), 671 –675 (2012). http://dx.doi.org/10.1038/nmeth.2089 1548-7091 Google Scholar

23. 

A. G. Jacobs et al., “Understanding of PS-b-PMMA phase segregation under laser-induced millisecond thermal annealing,” Proc. SPIE, 9423 942309 (2015). http://dx.doi.org/10.1117/12.2086057 Google Scholar

24. 

L. Leibler, “Theory of microphase separation in block copolymers,” Macromolecules, 13 (10), 1602 –1617 (1980). http://dx.doi.org/10.1021/ma60078a047 MAMOBX 0024-9297 Google Scholar

25. 

G. H. Fredrickson and E. Helfand, “Fluctuation effects in the theory of microphase separation in block copolymers,” J. Chem. Phys., 87 (1), 697 (1987). http://dx.doi.org/10.1063/1.453566 Google Scholar

26. 

F. S. Bates, J. H. Rosedale and G. H. Fredrickson, “Fluctuation effects in a symmetric diblock copolymer near the order-disorder transition,” J. Chem. Phys., 92 6255 –6270 (1990). http://dx.doi.org/10.1063/1.458350 JCPSA6 0021-9606 Google Scholar

27. 

H. Ahn et al., “Phase behavior of polystyrene- b -poly(methyl methacrylate) diblock copolymer,” Macromolecules, 42 (20), 7897 –7902 (2009). http://dx.doi.org/10.1021/ma901313a MAMOBX 0024-9297 Google Scholar

28. 

T. P. Russell, R. P. HjelmJr and P. A. Seeger, “Temperature dependence of the interaction parameter of polystyrene and poly (methyl methacrylate),” Macromolecules, 23 890 –893 (1990). http://dx.doi.org/10.1021/ma00205a033 MAMOBX 0024-9297 Google Scholar

29. 

B. Stuhn, “Relation between the microphase separation transition and the glass transition in diblock copolymers,” J. Polym. Sci. Part B, 30 (9), 1013 –1019 (1992). http://dx.doi.org/10.1002/polb.1992.090300909 Google Scholar

30. 

Z. Yue, E. Sivaniah and T. Hashimoto, “SAXS analysis of the order-disorder transition and the interaction parameter of polystyrene-block-poly(methyl methacrylate),” Macromolecules, 41 (24), 9948 –9951 (2008). http://dx.doi.org/10.1021/ma8013004 MAMOBX 0024-9297 Google Scholar

31. 

T. A. Callaghan and D. R. Paul, “Interaction energies for blends of poly(methyl methacrylate), polystyrene, and poly(a-methylstyrene) by the critical molecular weight method,” Macromolecules, 26 2439 –2450 (1993). http://dx.doi.org/10.1021/ma00062a008 MAMOBX 0024-9297 Google Scholar

32. 

J. G. Kennemur, M. A. Hillmyer and F. S. Bates, “Synthesis, thermodynamics, and dynamics of Poly(4- tert -butylstyrene- b -methyl methacrylate),” Macromolecules, 45 (17), 7228 –7236 (2012). http://dx.doi.org/10.1021/ma301047y MAMOBX 0024-9297 Google Scholar

33. 

A. Menelle et al., “Ordering of thin diblock copolymer films,” Phys. Rev. Lett., 68 (1), 67 –70 (1992). http://dx.doi.org/10.1103/PhysRevLett.68.67 PRLTAO 0031-9007 Google Scholar

Biography

Alan G. Jacobs received his BS degree in physics and bachelor’s of materials science and engineering (summa cum laude) from the University of Minnesota - Twin Cities in 2011. His current research includes millisecond and submillisecond processing of materials, block copolymer-based lithography, and equilibrium and nonequilibrium transformations of polymers.

Byungki Jung received his PhD in materials science and engineering from Cornell University in 2014. His research focused on fundamental studies of diffusion, kinetics, and growth in polymers and semiconductors under laser irradiation. The work led to the development of laser-based post exposure bake processes for photosensitive next-generation lithography. After completing his thesis, he joined Intel, where he presently works on processing of semiconductors.

Jing Jiang joined the Ober research group as a PhD student in 2010. Her research has included chemically amplified photoresists, directed self-assembly (DSA) of block copolymers (BCPs), ligand stabilized metal oxide nanoparticle resists for EUV, and laser annealing of soft materials.

Christopher K. Ober is the Francis Bard professor of materials engineering at Cornell University. His research has focused on photolithography and the development of resists, materials at the biological interface, and control of surface structure in thin films. He is a fellow of the ACS, APS, and AAAS, and has received numerous awards, including the 2003 International Sematech Outstanding Contribution award and the Photopolymer Science and Technology award in 2004.

Michael O. Thompson is an associate professor of materials science and engineering at Cornell University. For the past 28 years, his group’s research has focused on the behavior of semiconductor materials under pulsed and continuous wave (CW) laser exposure. More recently, he has extended the use of laser thermal processing to organic materials for applications including photolithography and directed self-assembly. He is coauthor of more than 120 papers and 25 patents.

© 2015 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2015/$25.00 © 2015 SPIE
Alan G. Jacobs, Byungki Jung, Jing Jiang, Christopher K. Ober, and Michael O. Thompson "Control of polystyrene-block-poly(methyl methacrylate) directed self-assembly by laser-induced millisecond thermal annealing," Journal of Micro/Nanolithography, MEMS, and MOEMS 14(3), 031205 (7 July 2015). https://doi.org/10.1117/1.JMM.14.3.031205
Published: 7 July 2015
Lens.org Logo
CITATIONS
Cited by 10 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Annealing

Directed self assembly

Polymers

Scanning electron microscopy

Gas lasers

Plasma etching

Polymer thin films


CHORUS Article. This article was made freely available starting 06 July 2016

Back to Top