Open Access
4 October 2016 Special Section Guest Editorial:Alternative Lithographic Technologies V
Author Affiliations +

The SPIE Advanced Lithography Symposium is the most important and widely attended symposium on lithography today, typically attracting over 2000 attendees from more than 30 countries. For anyone working in the field and looking to understand the most current trends, the event constantly attracts the most ground-breaking work in the field and attracts the attention of the industry’s key decision makers.

The symposium consists of seven individual conferences that are run more or less in parallel. These conferences cover:

  • Optical microlithography

  • Extreme ultraviolet (EUV) lithography

  • Alternative lithographic technologies (ALT)

  • Metrology, inspection, and process control for microlithography

  • Advances in patterning materials and processes

  • Design-process-technology co-optimization for manufacturability

  • Advanced etch technology for nanopatterning

The Alternative Lithographic Technologies conference showcases novel lithographic and patterning techniques that provide emerging patterning solutions for applications that are scaled (i.e. 14 nm technology integrated circuitry as defined by the International Technology Roadmap for Semiconductors, or ITRS), scaling-independent, or non-IC related. Our willingness to showcase non-IC related topics makes this conference somewhat unique relative to other advanced lithography conferences and opens the door to applications including bioelectronics and genomics, photovoltaics and related energy applications, disk drives and patterned media, flat panel displays, optoelectronics and LEDs, photonic crystals, negative-refractive-index/meta materials and nanopatterned sensors. In particular, we welcome contributions on hybrid approaches that employ a combination of two or more lithographic techniques.

Some of the key topics discussed in the conference are directed self-assembly (DSA), alternative pattern integration techniques (including spacer multiple patterning and self-aligned strategies), nanoimprint lithography (NIL), multibeam writing technologies as needed for leading-edge masks and wafer direct write maskless lithography (ML2), and other novel lithographic approaches.

In this special section of JM3, we are highlighting ten top papers from the conference on the topics of directed self-assembly, emerging patterning techniques, direct write patterning, multiple patterning process control and added a new paper on the topic of large-scale photonic crystal devices from the Central Electronics Engineering Research Institute of India.

The four papers on the topic of directed self-assembly were targeting CMOS device applications and come from the typical research groups (IMEC, CEA-LETI, Mentor Graphics) but also include a paper on process modeling from a new DSA research group (Coventor). The direct write lithography papers include both electron beam and scanning optical techniques from University of Tokyo and the University of Science and Technology China. The two papers on novel patterning both utilize nanoparticle techniques and come from Iowa State University and the Air Force Institute of Technology. On the topic of spacer based multipatterning, we have a paper that presents a model for calculating the tolerance stack-up from Peking University.

We would like to thank all of the authors for their contributions. We also hope you find these articles interesting and continue to follow the development of alternative lithographic technologies at the conference, in the conference proceedings, and in future special sections in JM3.

Biography

Chris Bencher, member of the technical staff at Applied Materials

Ricardo Ruiz, research technologist at Western Digital Corp.

© 2016 Society of Photo-Optical Instrumentation Engineers (SPIE)
Chris Bencher and Ricardo Ruiz "Special Section Guest Editorial:Alternative Lithographic Technologies V," Journal of Micro/Nanolithography, MEMS, and MOEMS 15(3), 031601 (4 October 2016). https://doi.org/10.1117/1.JMM.15.3.031601
Published: 4 October 2016
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Electron beam lithography

Directed self assembly

Extreme ultraviolet lithography

Optical lithography

Extreme ultraviolet

Integrated circuit design

Back to Top