Presentation
13 June 2022 Two-dimensional feature stochastic printing with mask deficiencies in high-NA EUV
Author Affiliations +
Abstract
Previous stochastic simulation studies described the impact of EUV mask deficiencies upon imaging lines and spaces, in both 0.33 NA and high NA extreme UV lithography, using respectively isomorphic and anamorphic imaging. Specifically multilayer ripple and absorber line-edge roughness are considered to require adjusted mask specifications in high NA lithography, as they cause increased defectivity and deteriorated CD uniformity on wafer. Recent work demonstrates that for high NA at 22 nm pitch the spread of printed CD due to ML ripple is found to saturate at unacceptable values already below a simulated 50 pm RMS value, that is currently considered as state-of-the-art. Also, it has been shown that there are measurable differences between horizontal and vertical feature defectivity for lines and spaces. Two dimensional line features govern line-end to line-end spacing -- the so called tip-to-tip (T2T) metal lines gap. This spacing in turn impacts contact pitch, making both significant influencers on cell layout area. High NA is anticipated to allow reduction in line-end to line-end gap sizes in a single patterning situation. Figure 1 demonstrates that a 16 nm line-end to line-end gap in a 22 nm pitch feature is feasible. However, the stochastic simulation illustrates approximately 2 nm of T2T variation on wafer and significant variation in the simulated failure ratio with a 50 pm RMS ML-ripple EUV mask. Such mask defectivity impact further interacts with the photonic stochastics of the high NA scanner and the photoresist stochastics. The stochastic model used is explained in Ref. JM3i. This paper will explore the impact of mask ML ripple and absorber line edge roughness on high-NA imaging of T2T features, including their sensitivity to orientation relative to the slit/scan directions of the scanner, in view of anamorphicity. A proposal for more precise definitions of the concept of horizontal and vertical will be made, by which, in the author’s opinions, future coverage of high NA will benefit. These findings will improve insights on any potential additional mask specifications required for high NA single patterning.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Lawrence S. Melvin III and Rik Jonckheere "Two-dimensional feature stochastic printing with mask deficiencies in high-NA EUV", Proc. SPIE PC12051, Optical and EUV Nanolithography XXXV, PC120510A (13 June 2022); https://doi.org/10.1117/12.2614874
Advertisement
Advertisement
KEYWORDS
Photomasks

Stochastic processes

Extreme ultraviolet

Printing

Optical lithography

Scanners

Semiconducting wafers

RELATED CONTENT


Back to Top