Presentation + Paper
30 March 2017 Image contrast enhancement of multiple patterning features through lower light source bandwidth
Author Affiliations +
Abstract
DUV immersion lithography (ArFi) continues to be the primary lithographic method for semiconductor manufacturers. Use of ArFi lithography requires patterning budget improvements in the range of 1/10 nm especially for interconnect layers[1] ; for advanced process technology nodes, every Angstrom counts. Previous investigations into the effects of light source bandwidth on imaging performance have provided the foundation for this work[2-10]. This study will focus on the increase in image contrast that 200 fm light source E95 bandwidth enables on Self-Aligned Double Patterning (SADP) and Self-Aligned Quadruple Patterning (SAQP) features. The impact of 200 fm E95 bandwidth on the CD and Edge Placement Error (EPE) performance of core (grating) and block features will be assessed using an imec 7 nm process node test vehicle. The on wafer experimental results will be compared with the simulation predicted responses of the target features to lower light source bandwidth.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Paolo Alagna, Will Conley, Greg Rechtsteiner, Kathleen Nafus, and Serge Biesemans "Image contrast enhancement of multiple patterning features through lower light source bandwidth", Proc. SPIE 10147, Optical Microlithography XXX, 101470N (30 March 2017); https://doi.org/10.1117/12.2263228
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Light sources

Optical lithography

Optical proximity correction

Semiconducting wafers

Photomasks

Metrology

Deep ultraviolet

Back to Top