Paper
29 August 2019 Progress in EUV resists for contact holes printing using EUV interference lithography
Author Affiliations +
Proceedings Volume 11177, 35th European Mask and Lithography Conference (EMLC 2019); 111770U (2019) https://doi.org/10.1117/12.2535678
Event: 35th European Mask and Lithography Conference, 2019, Dresden, Germany
Abstract
Using high-resolution extreme ultraviolet interference lithography (EUV-IL), we investigated contact hole/pillars printing performance of several EUV resist platforms for the high-NA EUV lithography. We compared the dose and local critical dimension uniformity (LCDU) of the three chemically-amplified resists (CARs) with the best performance for printing contact holes (CHs) at half pitch (HP) of 24 and 20 nm. One of the CARs showed the lowest LCDU, 2.3 and 2.2 nm with lowest dose 16.4 and 21.1 mJ/cm2 for HP 24 and 20 nm, respectively. With the inorganic resist we obtained 38.8 mJ/cm2 with an LCDU of 1.3 nm for HP 20 nm pillars. We have also studied the effects of the resist thickness and post-exposure baking (PEB) temperature on the dose and LCDU. These results show that there are promising CAR and non-CAR resists for CH printing towards high-NA EUVL.
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Xiaolong Wang, Li-Ting Tseng, Iacopo Mochi, Michaela Vockenhuber , Lidia van Lent-Protasova, Rolf Custers, Gijsbert Rispens, Rik Hoefnagels, and Yasin Ekinci "Progress in EUV resists for contact holes printing using EUV interference lithography", Proc. SPIE 11177, 35th European Mask and Lithography Conference (EMLC 2019), 111770U (29 August 2019); https://doi.org/10.1117/12.2535678
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Extreme ultraviolet lithography

Printing

Lithography

Diffraction gratings

Scanning electron microscopy

Photomasks

Back to Top