Paper
12 May 2020 Ruthenium direct etch scatterometry solution for self-aligning semi-damascene
Sara Paolillo, Alain Moussa, Gayle Murdoch, Frederic Lazzarino, Anne-Laure Charley, Philippe Leray, Joey Hung, Roy Koret, Shay Wolfling, Avron Ger
Author Affiliations +
Abstract
Beyond the 5nm technology node, interconnect scaling has an impact on metal material selection: usage of copper may hit a limit with respect to resistance and reliability performance [1]. Thickness of barrier and liner (required for copper) cannot be reduced further, meaning that trench width reduction will have a negative effect on the relative copper volume. Grain boundary scattering increases as well, which in turn further increases resistivity and resistance. One of the best alternatives is Ruthenium (Ru), but dual-damascene processing is difficult with Ru (requires improvements in Ru filling of narrow high aspect ratio trenches and in Ru CMP selectivity and defects). So, a Back End of Line (BEOL) material change may require a move to a semi-damascene integration with direct Ruthenium metal etch [2]. This shift from a well-known dual-damascene flow, based on metal CMP, to a semi-damascene flow, based on metal etch integration, will require a new set of metrology capabilities, which are studied in this paper. In the current study, Scatterometry is widely used in all semi-damascene process steps to monitor both dimensional and material properties. Important measured parameters include thickness, full profile details, grain size, and roughness of metal lines—all parameters that are required for feedback and in-line process control. We will describe how these parameters can be monitored using a single Scatterometry metrology system. The semi-damascene process development described in this paper exploits EUV lithography at a critical dimension (CD) of 16 nm and 32 nm pitch and includes the optimization of the following process steps: 1. Metal deposition techniques: ALD and PVD, with a wide range of metal thickness. 2. Anneal , affecting grain size. 3. Etch process , for optimal metal line profile and roughness. The Scatterometry results were evaluated and verified by reference techniques such as CDSEM, and HAADF-STEM. The goal of the process optimization was Ru resistivity and resistance. In-line Scatterometry was shown to accurately predict the resistance of the Ru lines — parameter that is measured at the end of the processing, and is affected by all processes, including deposition, annealing, and etch. Prediction was carried out by a machine learning algorithm, based on an E-test, combining the contribution of all three process steps into a single output, at the post-etch measurement phase.
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sara Paolillo, Alain Moussa, Gayle Murdoch, Frederic Lazzarino, Anne-Laure Charley, Philippe Leray, Joey Hung, Roy Koret, Shay Wolfling, and Avron Ger "Ruthenium direct etch scatterometry solution for self-aligning semi-damascene", Proc. SPIE 11325, Metrology, Inspection, and Process Control for Microlithography XXXIV, 1132519 (12 May 2020); https://doi.org/10.1117/12.2550366
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Ruthenium

Etching

Semiconducting wafers

Machine learning

Metals

Transmission electron microscopy

Photomasks

Back to Top