Presentation + Paper
16 March 2021 Alternative developer solution/process for EUV lithography: ethyltrimethylammonium hydroxide (ETMAH)
Author Affiliations +
Abstract
The application of the ethyltrimethylammonium hydroxide (ETMAH) as alternative developer solution for EUV lithography was investigated (compared to the tetramethylammonium hydroxide or TMAH standard developer). Early reports have shown that at a specific or optimal developer concentration of around 0.20N the ETMAH developer allows mitigation of resist-based stochastic defects (increase in stochastic defect margin) while maintaining lithographic performance. Results obtained here suggest that with the use of a non-ionic surfactant additive for the ETMAH developer solution LWR reduction was achieved (compared to TMAH). This improvement in LWR was achieved while preserving resolution, sensitivity, and stochastic defect margin.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Julius Joseph Santillan, Masahiko Harumoto, Tomohiro Motono, Andreia Figueiredo dos Santos, Chisayo Mori, Yuji Tanaka, Harold Stokes, Masaya Asai, and Toshiro Itani "Alternative developer solution/process for EUV lithography: ethyltrimethylammonium hydroxide (ETMAH)", Proc. SPIE 11609, Extreme Ultraviolet (EUV) Lithography XII, 116090W (16 March 2021); https://doi.org/10.1117/12.2583753
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Photoresist processing

Scanning electron microscopy

Line edge roughness

Line width roughness

Medium wave

Back to Top