Presentation
22 February 2021 EUV mask process development using MBMW(Multi-beam Mask Writer) for 5nm-node and beyond
Author Affiliations +
Abstract
Extreme ultraviolet lithography (EUVL) is introducing for manufacturing of advanced semiconductor devices in last year to re-accelerate “More Moore”. EUVL for 7nm logic node process has begun at the starting point and is expected to spread to cutting-edge processes for 5nm node and beyond down to 2nm node or so. One of key technologies of EUVL is photomask manufacturing technologies that requires higher resolution, more precise accuracy and better productivity. To get the solution, DNP has installed a Multi-Beam Mask Writer (MBMW). The MBMW tool is equipped with 262-thousand programmable beams, a 120-Gbps data-path, and an air-bearing stage, with sub-nm precision. With this system, DNP has developed the EUV mask process with the low-sensitivity and high resolution resist for EUV lithography capable of 5nm node processes and beyond. MBMW also proves that its writing strategy is useful for patterning the very complex features, such as the curvilinear patterns, with 1x nm resolution and reasonable throughput. In this presentation, we will discuss MBMW and its process capability of EUV mask application, e.g. local CD uniformity, minimum resolution, image placement accuracy and other applications for nanoimprint template and the curvilinear patterns.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Naoya Hayashi "EUV mask process development using MBMW(Multi-beam Mask Writer) for 5nm-node and beyond", Proc. SPIE 11610, Novel Patterning Technologies 2021, 116100R (22 February 2021); https://doi.org/10.1117/12.2583907
Advertisement
Advertisement
KEYWORDS
Photomasks

Extreme ultraviolet

Extreme ultraviolet lithography

Image processing

Manufacturing

Photoresist processing

Nanoimprint lithography

Back to Top