Presentation
30 April 2023 Curvilinear mask process correction with zero additional turnaround time
Author Affiliations +
Abstract
Multi-beam writer endowed photomask manufacturers a freedom in curvilinear design without concern for photomask write time. However, a similar concern is now happening in edge-based Mask Process Correction (MPC). When curvilinear pattern gets MPC, the number of figures tend to increase dramatically and takes longer time to process, just like VSB writer experienced shot count and write time increase before. NuFlare Technology Inc. has been developing inline, pixel-based MPC software and hardware embedded on multi-beam writer. This paper describes how our inline, pixel-based MPC achieves pattern fidelity enhancement and zero additional turn-around-time at the same time.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Noriaki Nakayamada, Haruyuki Nomura, Ryosuke Ueba, Yasuo Kato, and Kenichi Yasui "Curvilinear mask process correction with zero additional turnaround time", Proc. SPIE 12495, DTCO and Computational Patterning II, 1249507 (30 April 2023); https://doi.org/10.1117/12.2658633
Advertisement
Advertisement
KEYWORDS
Photomasks

Vestigial sideband modulation

Beam shaping

Electron beam lithography

Manufacturing

Raster graphics

Back to Top