Presentation + Paper
30 April 2023 Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era
Author Affiliations +
Abstract
Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroshi Matsumoto, Haruyuki Nomura, Hayato Kimura, Keisuke Yamaguchi, Yoshinori Kojima, Masato Saito, Takao Tamura, and Noriaki Nakayamada "Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era", Proc. SPIE 12497, Novel Patterning Technologies 2023, 1249708 (30 April 2023); https://doi.org/10.1117/12.2657994
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Manufacturing

Extreme ultraviolet

Control systems

Data conversion

Microelectromechanical systems

Electron beam lithography

Photomasks

Back to Top