Presentation + Paper
21 November 2023 Integration of e-beam mask writer corrections in MPC applications
Ingo Bork, Rachit Sharma, Malavika Sharma, Archana Rajagopalan, Bhardwaj Durvasula, Kushlendra Mishra, Mary Zuo
Author Affiliations +
Abstract
Critical Dimension Uniformity (CDU) of photomasks written with 50 kV e-beam writers is very sensitive to scattering and mask process effects such as etch loading. The interaction distances of those effects vary by about 6 orders of magnitude and range from around 10 nm to around 10 mm. With the introduction of 50 kV e-beam writers, tool manufacturers also developed methods for compensating the most significant scattering effects, namely backscattering, often called PEC for Proximity Effect Correction and fogging (also called FEC for Fogging Effect Correction). E-beam writers, including the most advanced multi-beam writers, use local dose modulation of the exposure dose as described in [1]-[3] to compensate for those longer-range scattering effects. This method works reasonably well for older technology nodes but starts to be a limiting factor for most advanced EUV masks which have to meet very high CDU specifications. The reason is that the Critical Dimension (CD) response to dose is not constant across different mask shapes. For example, very small features like sub-resolution assist features (SRAFs) have a higher ΔCD/ΔDose response than larger features, since smaller features have a more gradual image edge slope. So far it has not been reported in the literature that Mask Process Correction (MPC) applications take the e-beam writer corrections into account when correcting for shorter-range non-linearity effects. Ref. [4] describes an attempt to combine forward and backscatter compensation as an off-tool MPC application where all corrections seem to be achieved via dose modulation. This paper describes a method for integrating the e-beam writer dose modulation into the MPC engine, so that the actual exposure dose at any given location on the mask is taken into account when applying shape based, short-range MPC. With this extension of MPC, a fully consistent short- and long-range correction is possible, even when the actual long-range corrections are applied on the e-beam writer and not directly during the offline MPC step.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ingo Bork, Rachit Sharma, Malavika Sharma, Archana Rajagopalan, Bhardwaj Durvasula, Kushlendra Mishra, and Mary Zuo "Integration of e-beam mask writer corrections in MPC applications", Proc. SPIE 12751, Photomask Technology 2023, 127510Y (21 November 2023); https://doi.org/10.1117/12.2688502
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Modulation

Backscatter

Photomask technology

Scattering

SRAF

Etching

Extreme ultraviolet

Back to Top