Paper
16 June 2003 Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror
Author Affiliations +
Abstract
Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 45-nm node. Typical PSM structures, such as for attenuated PSMs (Att-PSMs), are similar to those of binary masks in the sense that patterned structures of one or more layers of absorber (attenuator) are constructed on the EUV multilayer mirror to provide the correct amount of attenuation and phase shift. However, another type of PSM implemented by etching into the Mo/Si multilayers, rather than by adding lithographic structures on top of the Mo/Si multilayers (additive approach) can provide the required phase shift for both attenuated and hard PSMs. One of anticipated technical challenges, i.e. terminating ethcing at a specific depth with good surface uniformity can be sovled by employing an etch stop layer (ESL) embedded at a target depth inside the multilayer. In designing PSMs using this subtractive fabrication technique, the position and thickness of the ESL should be optimized, so that optical function of the multilayer substrate with embedded ESL should be same or close to when it does not have any embedded layer. According to simulation, the print bias for PSMs by etching into the multilayer stack to create the phase shift is smallest and near ideal compared to other types of PSMs or binary masks fabricated by conventional methods. The increase of depth of focus by 25-75% for contacts using an attenuated PSM and by 50-100% for lines using an ideal har dPSM is another lithographic advantage as well. The design and method of fabricating PSMs by etchign intothe multilayers is described, which include the optimziation of the thinkness and dpeth of the embedded layer. Experimental results of the multilayer etch process demonstrate initial feasibility of the subtractive approach to fabricating EUV PSMs.
© (2003) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sang-In Han, Eric Weisbrod, Qianghua Xie, Pawitter J. S. Mangat, Scott Daniel Hector, and William J. Dauksher "Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror", Proc. SPIE 5037, Emerging Lithographic Technologies VII, (16 June 2003); https://doi.org/10.1117/12.484731
Lens.org Logo
CITATIONS
Cited by 13 scholarly publications and 4 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reflectivity

Photomasks

Etching

Phase shifts

Tolerancing

Multilayers

Extreme ultraviolet lithography

RELATED CONTENT

Cr absorber mask for extreme-ultraviolet lithography
Proceedings of SPIE (January 22 2001)
Phase-shift mask for EUV lithography
Proceedings of SPIE (March 23 2006)
EUV mask fabrication with Cr absorber
Proceedings of SPIE (July 21 2000)
Inspection and repair of EUV
Proceedings of SPIE (March 11 2002)

Back to Top