Paper
2 June 2004 Investigation of Cr etch chamber seasoning
Author Affiliations +
Proceedings Volume 5504, 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents; (2004) https://doi.org/10.1117/12.568015
Event: 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents, 2004, Dresden, Germany
Abstract
One of the most critical steps for photomask CD off-target is the patterning of the mask. Here the instability of the dry etch process contributes directly to the stability of the CD value. The increasing demands on high-end masks cause a narrowing of both mask CD off-target and CD uniformity specifications, and accordingly the process stability has to be improved to fulfill these criteria. In this work we investigated the correlation between hardware parameters, basic etch process parameters and the corresponding CD mean-to-target value. Correlations between CD mean-to-target and Cr etch rate as well as effects of chamber seasoning after wet cleans are discussed.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Pavel Nesladek, Guenther G. Ruhl, and Marcel Kristlib "Investigation of Cr etch chamber seasoning", Proc. SPIE 5504, 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents, (2 June 2004); https://doi.org/10.1117/12.568015
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Chromium

Critical dimension metrology

Photomasks

Plasma

Photoresist materials

Plasma etching

RELATED CONTENT


Back to Top