Paper
12 May 2005 Polarization influences through the optical path
Author Affiliations +
Abstract
With the advent of immersion lithography, high numerical aperture (NA) and Hyper-NA (NA > 1.0) exposure tools, comes the task of understanding the impact of polarization and possibly how to master these effects for further resolution enhancements. In the past, the lithographic community has for the most part been able to ignore the polarization incident to mask, polarization induced by the 3D mask effects, and any residual polarization provided by the pupil, but with the combination of these high-NA exposure tools and the use of extreme off-axis illumination techniques, neglecting these polarization effects could be disastrous. Previous works have rigorously accounted for the polarization influences from the illumination source and within a thin film for an immersion and dry process using the Calibre vector-diffraction model 1-2. This paper will expand upon this study to include the mask and pupil polarization effects from the first order perspective and from the higher-order interactions with the four polarizations commonly found within a lithographic exposure system. It will propose possible resolution enhancement techniques by manipulating polarization in the optical path and at the mask in a hyper-NA exposure environment.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
George E. Bailey and Kostas Adam "Polarization influences through the optical path", Proc. SPIE 5754, Optical Microlithography XVIII, (12 May 2005); https://doi.org/10.1117/12.600660
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Polarization

Photomasks

Resolution enhancement technologies

3D modeling

Diffraction

Lithography

Nanoimprint lithography

Back to Top