Paper
20 October 2006 Experimental verification of finite element model prediction of EUVL mask flatness during electrostatic chucking
Author Affiliations +
Abstract
Stringent flatness requirements have been imposed for the front and back surfaces of extreme ultraviolet lithography masks to ensure successful pattern transfer within the image placement error budget. During exposure, an electrostatic chuck will be used to support and flatten the mask. It is therefore critical that the electrostatic chucking process and its effect on mask flatness be well-understood. The current research is focused on the characterization of various aspects of electrostatic chucking through advanced finite element (FE) models and experiments. FE models that use flatness measurements of the mask and the chuck to predict the final flatness of the pattern surface have been developed. Pressure was applied between the reticle and chuck to simulate electrostatic clamping. The modeling results are compared to experimental data obtained using a bipolar Coulombic pin chuck. Electrostatic chucking experiments were performed in a cleanroom, within a vacuum chamber mounted on a vibration isolation cradle, to minimize the effects of particles, humidity, and static charges. During these experiments, the chuck was supported on a 3-point mount; the reticle was placed on the chuck with the backside in contact with the chucking surface and the voltage was applied. A Zygo interferometer was used to measure the flatness of the reticle before and after chucking. The FE models and experiments provide insight into the electrostatic chucking process which will expedite the design of electrostatic chucks and the development of the SEMI standards.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Madhura Nataraju, Jaewoong Sohn, Andrew R. Mikkelson, Kevin T. Turner, Roxann L. Engelstad, and Chris K. Van Peski "Experimental verification of finite element model prediction of EUVL mask flatness during electrostatic chucking", Proc. SPIE 6349, Photomask Technology 2006, 634939 (20 October 2006); https://doi.org/10.1117/12.686153
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reticles

Data modeling

Photomasks

Extreme ultraviolet lithography

Interferometers

Standards development

Finite element methods

RELATED CONTENT


Back to Top