Paper
22 March 2010 Assessing out-of-band flare effects at the wafer level for EUV lithography
Simi A. George, Patrick P. Naulleau, Charles D. Kemp, Paul E Denham, Senajith Rekawa
Author Affiliations +
Abstract
To accurately estimate the flare contribution from the out-of-band (OOB), the integration of a DUV source into the SEMATECH Berkeley 0.3-NA Micro-field Exposure tool is proposed, enabling precisely controlled exposures along with the EUV patterning of resists in vacuum. First measurements evaluating the impact of bandwidth selected exposures with a table-top set-up and subsequent EUV patterning show significant impact on line-edge roughness and process performance. We outline a simulation-based method for computing the effective flare from resist sensitive wavelengths as a function of mask pattern types and sizes. This simulation method is benchmarked against measured OOB flare measurements and the results obtained are in agreement.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Simi A. George, Patrick P. Naulleau, Charles D. Kemp, Paul E Denham, and Senajith Rekawa "Assessing out-of-band flare effects at the wafer level for EUV lithography", Proc. SPIE 7636, Extreme Ultraviolet (EUV) Lithography, 763626 (22 March 2010); https://doi.org/10.1117/12.847953
Lens.org Logo
CITATIONS
Cited by 12 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Mirrors

Line edge roughness

Extreme ultraviolet lithography

Optical lithography

Photomasks

Semiconducting wafers

RELATED CONTENT

Overview of extreme ultraviolet lithography
Proceedings of SPIE (December 07 1994)
Thorough characterization of an EUV mask
Proceedings of SPIE (May 11 2009)
Out of band radiation effects on resist patterning
Proceedings of SPIE (April 07 2011)

Back to Top