Paper
22 March 2010 Laser-produced plasma light source for EUVL
Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers, Richard L. Sandstrom, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Alexander N. Bykanov, Shailendra N. Srivastava, Imtiaz Ahmad, Chirag Rajyaguru, Daniel J. Golich, Silvia De Dea, Richard R. Hou, Kevin M. O'Brien, Wayne J. Dunstan, David C. Brandt
Author Affiliations +
Abstract
This paper describes the development of laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source architecture for advanced lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193nm immersion technology for sub-22nm critical layer patterning. In this paper we discuss the most recent results from high EUV power testing and debris mitigation testing on witness samples and normal incidence collectors. Subsystem performance will be shown including the CO2 drive laser, debris mitigation, normal incidence collector and coatings, droplet generation, laser-to-droplet targeting control, intermediate-focus (IF) metrology and system use and experience. In addition, a number of smaller lab-scale experimental systems have also been constructed and tested. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for an HVM source.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers, Richard L. Sandstrom, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Alexander N. Bykanov, Shailendra N. Srivastava, Imtiaz Ahmad, Chirag Rajyaguru, Daniel J. Golich, Silvia De Dea, Richard R. Hou, Kevin M. O'Brien, Wayne J. Dunstan, and David C. Brandt "Laser-produced plasma light source for EUVL", Proc. SPIE 7636, Extreme Ultraviolet (EUV) Lithography, 763639 (22 March 2010); https://doi.org/10.1117/12.848408
Lens.org Logo
CITATIONS
Cited by 16 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Extreme ultraviolet lithography

Carbon dioxide lasers

Reflectivity

Tin

Ions

Manufacturing

RELATED CONTENT

Challenge of >300W high power LPP EUV source with long...
Proceedings of SPIE (March 23 2020)
Update of >300W high power LPP EUV source challenge IV...
Proceedings of SPIE (October 13 2021)
LPP source system development for HVM
Proceedings of SPIE (March 17 2009)
Laser-produced plasma source system development
Proceedings of SPIE (December 04 2008)
Light sources for EUV lithography at the 22 nm node...
Proceedings of SPIE (March 23 2012)
Laser-produced plasma light source for EUVL
Proceedings of SPIE (March 18 2009)

Back to Top