Paper
1 April 2010 Scatterometry characterization of spacer double patterning structures
Author Affiliations +
Abstract
DPT overlay errors result in CD distortions and CD non-uniformity leads to overlay errors demanding increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are used to characterize the CD uniformity, focus and dose control. We will present CD distribution (CDU) and profile characterization for spacer double patterning structures by advanced scatterometry methods. Our result will include NISR, and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer double patterning stack. We will further show the results of spacer DP structures by NISR and SE measurements. Metrology comparison at various process steps including litho, etch and spacer and validation of CDU and profile; all benchmarked against traditional CDSEM measurements.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Prasad Dasari, Jiangtao Hu, Zhuan Liu, Asher Tan, Oleg Kritsun, Catherine Volkman, and Chris Bencher "Scatterometry characterization of spacer double patterning structures", Proc. SPIE 7638, Metrology, Inspection, and Process Control for Microlithography XXIV, 76381J (1 April 2010); https://doi.org/10.1117/12.848518
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Scatterometry

Critical dimension metrology

Double patterning technology

Semiconducting wafers

Etching

Metrology

Overlay metrology

Back to Top