Paper
16 April 2010 CD uniformity correction on 45-nm technology non-volatile memory
Ute Buttgereit, Robert Birkner, Mark Joyner, Erez Graitzer, Avi Cohen, Hiroyuki Miyashita, Benedetta Triulzi, Alejandro Fasciszewski Zeballos, Carmelo Romeo
Author Affiliations +
Abstract
One of the key parameters necessary to assure a good and reliable functionality of any integrated circuit is the Critical Dimension Uniformity (CDU). There are different contributors which impact the total CDU: mask CD uniformity, scanner and lens fingerprint, resist process, wafer topography, mask error enhancement factor (MEEF) etc. In this work we focus on improvement of intra-field CDU at wafer level by improving the mask CD signature using a CDC200TM tool from Carl Zeiss SMS. The mask layout used is a line and space dark level of a 45nm node Non Volatile Memory (NVM). A prerequisite to improve intra-field CDU at wafer level is to characterize the mask CD signature precisely. For CD measurement on mask the newly developed wafer level CD metrology tool WLCD32 of Carl Zeiss SMS was used. The WLCD32 measures CD based on aerial imaging technology. The WLCD32 measurement data show an excellent correlation to wafer CD data. For CDU correction the CDC200TM tool is used. By utilizing an ultrafast femto-second laser the CDC200TM writes intra-volume shading elements (Shade-In ElementsTM) inside the bulk of the mask. By adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner that improves wafer CDU when the corrected mask is printed. In the present work we will demonstrate a closed loop process of WLCD32 and CDC200TM to improve mask CD signature as one of the main contributors to intra-field wafer CDU.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ute Buttgereit, Robert Birkner, Mark Joyner, Erez Graitzer, Avi Cohen, Hiroyuki Miyashita, Benedetta Triulzi, Alejandro Fasciszewski Zeballos, and Carmelo Romeo "CD uniformity correction on 45-nm technology non-volatile memory", Proc. SPIE 7638, Metrology, Inspection, and Process Control for Microlithography XXIV, 76383K (16 April 2010); https://doi.org/10.1117/12.847028
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Photomasks

Critical dimension metrology

Calibration

Scanners

Signal attenuation

Airborne remote sensing

Back to Top