Paper
13 October 2011 Optimization of mask shot count using MB-MDP and lithography simulation
Gek Soon Chua, Wei Long Wang, Byoung IL Choi, Yi Zou, Cyrus Tabery, Ingo Bork, Tam Nguyen, Aki Fujimura
Author Affiliations +
Abstract
In order to maintain manageable process windows, mask shapes at the 20nm technology node and below become so complex that mask write times reach 40 hours or might not be writeable at all since the extrapolated write time reaches 80 hours. The recently introduced Model Based Mask Data Preparation (MB-MDP) technique is able to reduce shot count and therefore mask write time by using overlapping shots. Depending on the amount of shot count reduction the contour of the mask shapes is changed leading to the question how the mask contour influences wafer performance. This paper investigates the tradeoff between mask shot count reduction using MB-MDP and wafer performance using lithography simulation. A typical Source-Mask-Optimization (SMO) result for a 20nm technology will be used as an example.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Gek Soon Chua, Wei Long Wang, Byoung IL Choi, Yi Zou, Cyrus Tabery, Ingo Bork, Tam Nguyen, and Aki Fujimura "Optimization of mask shot count using MB-MDP and lithography simulation", Proc. SPIE 8166, Photomask Technology 2011, 816632 (13 October 2011); https://doi.org/10.1117/12.897037
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 13 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Optical proximity correction

Lithography

Photovoltaics

Data modeling

Semiconducting wafers

SRAF

Back to Top