Open Access Paper
26 March 2013 Electron multi-beam technology for mask and wafer writing at 0.1nm address grid
Elmar Platzgummer, Christof Klein, Hans Loeschner
Author Affiliations +
Abstract
An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Elmar Platzgummer, Christof Klein, and Hans Loeschner "Electron multi-beam technology for mask and wafer writing at 0.1nm address grid", Proc. SPIE 8680, Alternative Lithographic Technologies V, 868004 (26 March 2013); https://doi.org/10.1117/12.2014661
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Beam shaping

Semiconducting wafers

Electron beam lithography

Lithography

Nanofabrication

Electron beam direct write lithography

Back to Top