Paper
18 April 2013 Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage
Takeyoshi Ohashi, Tomoko Sekiguchi, Atsuko Yamaguchi, Junichi Tanaka, Hiroki Kawada
Author Affiliations +
Abstract
The mechanism of photoresist shrinkage induced by electron-beam (EB) irradiation was studied. A precise cross-sectional profile of a photoresist pattern was obtained by a scanning transmission electron microscope (STEM) after atomic layer deposition of HfO2 on the sample patterns. Photoresist lines and spaces fabricated with positive-tone development and negative-tone development were exposed to an EB with much higher dose than a practical dose (to accelerate shrinkage intentionally). The obtained STEM images of the patterns before and after EB irradiation show that the shrinkage of the negative-tone-developed patterns is smaller than that of the positive-tone-developed patterns. This observation is explained by the fact that negative-tone-developed photoresist molecules do not contain protection groups, whose volatilization caused by EB irradiation is one of the origins of shrinkage. Another finding is that the EB irradiation causes top-rounding and necking of the pattern profile as well as linewidth slimming. The rounding of the pattern top profile suggests that the pattern’s shape was elastically deformed. In addition, EB irradiation only onto the spaces caused sidewall shrinkage and a necking profile, although no electrons were irradiated directly onto the pattern. These phenomena are considered to be due to the electrons scattered from the spaces to the pattern sidewall. Finally, a Monte Carlo simulation of electron scattering showed that the distribution of the deposited EB energy on the pattern surface corresponds to the above-described change in pattern shape. Consequently, these observations and simulation results clarify the importance of the effect of elastic shape change and the impact of the electrons scattered from the underlying layer onto the sidewall in the mechanism of photoresist shrinkage.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Takeyoshi Ohashi, Tomoko Sekiguchi, Atsuko Yamaguchi, Junichi Tanaka, and Hiroki Kawada "Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage", Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, 86810K (18 April 2013); https://doi.org/10.1117/12.2010614
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photoresist materials

Scanning transmission electron microscopy

Scanning electron microscopy

Molecules

Monte Carlo methods

Atomic layer deposition

Photoresist developing

Back to Top