Paper
2 April 2014 Determination of line edge roughness in low dose top-down scanning electron microscopy images
T. Verduin, P. Kruit, C. W. Hagen
Author Affiliations +
Abstract
We investigated off-line metrology for LER determination in low-dose SEM images to reduce the acquisition time and the risk of shrinkage. Our first attempts are based on filtering noisy (experimental) SEM images and use peak detection to measure the edge displacements and calculating the discrete PSD. However, the result of the filtering is that the power spectrum of the filter leaks into the PSD. So it is better to avoid a filter at all. We subsequently developed a method to detect edge displacements without the use of a filter. This method considers the signal profile of a SEM by integrating an experimental image of lines in the direction of the edges. The signal profile of an isolated edge is modeled as two merged Gaussians. This signal profile is then fitted against the raw (unfiltered) data of the edge pattern using an interior trust-region-reflective minimization procedure. This gives the edge displacements without the use of a filter and a filter-free version of the discrete PSD is obtained. The determination of edge displacements without the use of a filter, enables us to study how much noise is acceptable and still determine LER. To answer this question we generate random lines using the model of Palasantzas and the algorithm of Thorsos. This gives random generated edge displacements for typical values of experimental lines for the parameters of the model: 2 μm long lines (256 pixels), a correlation length ξ of 25 nm and a roughness exponent of 0.75. A noise-free top-down SEM-like image of lines is created by shifting the profile signal according to the random generated edge displacements. The image is further processed by adding Poisson-distributed noise. We consider three noise cases where the average electron density is about 2, 20 and 200 electrons per pixel. This corresponds to a charge density of (in respective order) 10 μC/cm2, 100 μC/cm2 and 1000 μC/cm2. The edge displacements of the random generated images are determined using our new developed filter-free displacement detection. The difference between the random generated displacements and the detected displacements (after adding Poisson-distributed noise) shows how pixel noise translates to noise in edge displacements. We conclude from running many simulations that this pixel noise translates to a noise in the edge displacements which is uniform (flat line) in the PSD. This means that pixel noise is classified as white noise in the edge displacements. Finally, we study simulated discrete PSDs as a function of the number of averages and analyze the convergence of the parameters (σ, σn, ξ and α) of the Palasantzas model extended with a white noise term. One of the conclusions is that a very noisy image with 12 lines and about 2 electrons per pixel on average (charge density ≈ 10 μC/cm2) already produces an estimation for LER with a relative error of about 10%.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
T. Verduin, P. Kruit, and C. W. Hagen "Determination of line edge roughness in low dose top-down scanning electron microscopy images", Proc. SPIE 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII, 90500L (2 April 2014); https://doi.org/10.1117/12.2046493
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications and 4 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Image filtering

Scanning electron microscopy

Edge detection

Error analysis

Metrology

Computer simulations

Back to Top