Paper
27 March 2014 Novel spin-on metal hardmask materials for filling applications
Alberto D. Dioses, Venkata Chada, Elizabeth Wolfer, Edward Ng, Salem Mullen, Huirong Yao, JoonYeon Cho, Munirathna Padmanaban
Author Affiliations +
Abstract
Hardmasks are indispensable materials during pattern transfer to the desired substrates in the semiconductor manufacturing process. Primarily there are two types of hardmask materials - organic and inorganic - and they can be coated onto substrates or underlying materials either by a simple spin-on process or by more expensive methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and sputtering process. Most inorganic hardmasks such as SiO2, SiON, SiN and TiN are deposited using the CVD process. Future nodes require hardmasks with high etch resistance as the designs move from horizontal to vertical (3D). We have reported novel spin-on metallic hardmasks (MHM) with comparable or higher etch resistance than SiO2.1-2 In addition to high etch resistance, they are easy to remove using wet etch chemicals. The spin-on process offers high throughput and commonly used spin tracks can be utilized; thereby reducing overall process costs when compared with CVD. Via-fill performance is also an important attribute of hardmask materials for these future nodes. Organic spin-on materials, both siloxane- and carbon-based, are used in filling applications of deep via or deep trench fill, such as those found in LELE double-patterning schemes. Inorganic materials deposited by either chemical vapor deposition (CVD) or atomic layer deposition (ALD) have higher resistance to oxygenated plasma than organic materials, but are hindered by their poor filling performance. Therefore, novel tungsten (W) containing MHM materials having both good filling performance and higher resistance to oxygenated plasma than organic materials would be of value in some filling applications. The present paper describes specific metal oxides useful for filling applications. In addition to basic filling performance and etch resistance, other properties such as optical properties, outgas and shelf life via forced aging etc. will be discussed.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Alberto D. Dioses, Venkata Chada, Elizabeth Wolfer, Edward Ng, Salem Mullen, Huirong Yao, JoonYeon Cho, and Munirathna Padmanaban "Novel spin-on metal hardmask materials for filling applications", Proc. SPIE 9051, Advances in Patterning Materials and Processes XXXI, 90512B (27 March 2014); https://doi.org/10.1117/12.2046676
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Resistance

Metals

Chemical vapor deposition

Semiconducting wafers

Silicon

Wet etching

Back to Top