Paper
23 October 2015 Fabrication of a full-size EUV pellicle based on silicon nitride
Author Affiliations +
Abstract
In this paper, the fabrication and initial characterization of an unsupported membrane composed of a single ultrathin silicon nitride (SiNx) layer with potential application as a EUV pellicle is described in detail. A full size free-standing pellicle with inner film area equal to 113x145mm and champion EUV transparency equal to 89.5% (single pass) is demonstrated utilizing the methodology presented in this study. The exemplary EUV transparency of the reported pellicle was achieved by limiting the membrane thickness to 16nm, while the intrinsic mechanical stability for the silicon nitride film was realized by adjusting the Si:N ratio to provide a non-stoichiometric layer featuring low tensile stress. The pellicle thickness, elemental composition and mass density were used to calculate the expected EUV transparency, which was found to be in good agreement with experimental EUV transmission measurements. Additionally, careful consideration was given to process-induced mechanical instabilities exerted on the ultrathin pellicle during the wet etch, rinsing and drying fabrication steps, and a unique yet simple set of ancillary hardware, materials and processing techniques was introduced to minimize such disturbances and yield large-area pellicles that are free of visible defects and wrinkles. In the absence of commercially available actinic inspection tools, a distinctive advantage of the SiNx membrane versus a Silicon-based EUV pellicle solution is the demonstrated ArF transmission, making it attractive for through-pellicle mask defect inspection and advanced metrology work utilizing available 193nm excimer laser and detection systems. A preliminary heat load test indicates that the SiNx-based EUV pellicle would be marginally compatible with an equivalent 80W EUV source.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Dario L. Goldfarb "Fabrication of a full-size EUV pellicle based on silicon nitride", Proc. SPIE 9635, Photomask Technology 2015, 96350A (23 October 2015); https://doi.org/10.1117/12.2196901
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 14 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Pellicles

Extreme ultraviolet

Silicon

Etching

Semiconducting wafers

Wet etching

Liquids

Back to Top