Paper
22 March 2016 Defectivity and particle reduction for mask life extension, and imprint mask replication for high-volume semiconductor manufacturing
Keiji Emoto, Fumio Sakai, Chiaki Sato, Yukio Takabayashi, Hitoshi Nakano, Tsuneo Takabayashi, Kiyohito Yamamoto, Tadashi Hattori, Mitsuru Hiura, Toshiaki Ando, Yoshio Kawanobe, Hisanobu Azuma, Takehiko Iwanaga, Jin Choi, Ali Aghili, Chris Jones, J. W. Irving, Brian Fletcher, Zhengmao Ye
Author Affiliations +
Abstract
Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. Criteria specific to any lithographic process for the semiconductor industry include overlay, throughput and defectivity. The purpose of this paper is to describe the technology advancements made in the reduction of particle adders in an imprint tool and introduce the new mask replication tool that will enable the fabrication of replica masks with added residual image placement errors suitable for memory devices with half pitches smaller than 15nm. Hard particles on a wafer or mask create the possibility of creating a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, test stand results demonstrate the potential for extending mask life to better than 1000 wafers. Additionally, a new replication tool, the FPA-1100 NR2 is introduced. Mask chuck flatness simulation results were also performed and demonstrate that residual image placement errors can be reduced to as little as 1nm.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Keiji Emoto, Fumio Sakai, Chiaki Sato, Yukio Takabayashi, Hitoshi Nakano, Tsuneo Takabayashi, Kiyohito Yamamoto, Tadashi Hattori, Mitsuru Hiura, Toshiaki Ando, Yoshio Kawanobe, Hisanobu Azuma, Takehiko Iwanaga, Jin Choi, Ali Aghili, Chris Jones, J. W. Irving, Brian Fletcher, and Zhengmao Ye "Defectivity and particle reduction for mask life extension, and imprint mask replication for high-volume semiconductor manufacturing", Proc. SPIE 9777, Alternative Lithographic Technologies VIII, 97770C (22 March 2016); https://doi.org/10.1117/12.2219036
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Particles

Semiconducting wafers

Curtains

Lithography

Nanoimprint lithography

Control systems

Back to Top