Paper
22 March 2016 Manufacturability of dense hole arrays with directed self-assembly using the CHIPS flow
Arjun Singh, Jaewoo Nam, Jongsu Lee, Boon Teik Chan, Hengpeng Wu, Jian Yin, Yi Cao, Roel Gronheid
Author Affiliations +
Abstract
Directed self-assembly (DSA) of block copolymers (BCP) has attracted significant interest as a patterning technique over the past few years. We have previously reported the development of a new process flow, the CHIPS flow (Chemo-epitaxy Induced by Pillar Structures), where we use ArFi lithography and plasma etch to print guiding pillar patterns for the DSA of cylindrical phase BCPs into dense hexagonal hole arrays of 22.5 nm half-pitch and 15 nm half-pitch [1]. The ability of this DSA process to generate dense regular patterns makes it an excellent candidate for patterning memory devices. Thus, in this paper we study the applicability of the CHIPS flow to patterning for DRAM storage layers. We report the impact of various process conditions on defect density, defect types and pattern variability. We also perform detailed analysis of the DSA patterns, quantify pattern placement accuracy and demonstrate a route towards excellent LCDU after pattern transfer into a hard mask layer.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Arjun Singh, Jaewoo Nam, Jongsu Lee, Boon Teik Chan, Hengpeng Wu, Jian Yin, Yi Cao, and Roel Gronheid "Manufacturability of dense hole arrays with directed self-assembly using the CHIPS flow", Proc. SPIE 9777, Alternative Lithographic Technologies VIII, 97770P (22 March 2016); https://doi.org/10.1117/12.2219261
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Directed self assembly

Polymethylmethacrylate

Plasma etching

Photoresist materials

Cadmium

Optical lithography

Critical dimension metrology

Back to Top