Paper
22 March 2016 Process highlights to enhance DSA contact patterning performances
A. Gharbi, R. Tiron, M. Argoud, G. Chamiot-Maitral, A. Fouquet, C. Lapeyre, P. Pimenta Barros, A. Sarrazin, I. Servin, F. Delachat, S. Bos, S. Bérard-Bergery, J. Hazart, X. Chevalier, C. Nicolet, C. Navarro, I. Cayrefourcq, S. Bouanani, C. Monget
Author Affiliations +
Abstract
In this paper, we focus on the directed-self-assembly (DSA) application for contact hole (CH) patterning using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair and multiplication which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern densityrelated- defects that are encountered with the commonly-used graphoepitaxy process flow. Our study also aims to evaluate DSA performances as function of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE) and defectivity (Hole Open Yield = HOY). Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable to significantly enhance CDU and PE. Regarding materials properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0 = 35nm), high DSA performances are achieved: CDU-3σ = 1.2nm, PE-3σ = 1.2nm and HOY = 100%. The stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks. Finally, simulation results, using a phase field model based on Ohta-Kawasaki energy functional are presented and discussed with regards to experiments.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
A. Gharbi, R. Tiron, M. Argoud, G. Chamiot-Maitral, A. Fouquet, C. Lapeyre, P. Pimenta Barros, A. Sarrazin, I. Servin, F. Delachat, S. Bos, S. Bérard-Bergery, J. Hazart, X. Chevalier, C. Nicolet, C. Navarro, I. Cayrefourcq, S. Bouanani, and C. Monget "Process highlights to enhance DSA contact patterning performances", Proc. SPIE 9777, Alternative Lithographic Technologies VIII, 97770T (22 March 2016); https://doi.org/10.1117/12.2219210
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Directed self assembly

Picosecond phenomena

Annealing

Critical dimension metrology

Optical lithography

Materials processing

Scanning electron microscopy

Back to Top