Presentation + Paper
20 March 2018 Comparison between multi-colored LEn SADP/SAQP and selective-etching SADP/SAQP
Author Affiliations +
Abstract
Self-Aligned-Multi-Pattering (SAMP) played an important role in extending Moore’s law over the past years especially in advanced technology nodes beyond 20nm. SAMP was tackled using several approaches, the main and most commonly used approaches are SADP with Spacer-Is-Mask (SIM) and SADP with Spacer-Is-Dielectric (SID). The first approach SADP-SIM is most commonly used in devices layer with unidirectional lines. The second approach SADP-SID is now a common approach applied in metal layers with thigh pitches especially in 7nm and 5nm technologies where the original target patterns are decomposed into a Mandrel mask and Cut Mask. The Mandrel mask is printed first using DUV 193i lithography process, then side walls are grown on both sides of the printed Mandrel patterns, and then a Cut/Block mask is printed to define target’s intended tip-to-tip spacing. The summation of side walls and Cut/Blocks act as an etchblocking layer to the regions they are covering, in another words, trenches are grown in all areas that are not covered by side walls nor cut/blocks. A complementary process for SADP-SID is the filling process, where unidirectional design, consists of aligned target patterns, are accommodated into aligned tracks. The aligned tracks are alternately assigned as Mandrel and non-Mandrels tracks. The Cut/Block defines a gap within the target and accordingly define target’s tip-totip spacing. The spacing constraints between target’s line ends and tip-to-tip spacing are translated into spacing constrains between Cut/Blocks, and based on place and route style, the density of Cut/Blocks mask is defined. Usually, in real-life designs Cut/Blocks mask density is high and comes with tight spacing constrains. The challenge with printing a cut/Blocks mask with such tight constraints and spacing rules among Cut/Blocks shapes, mandated LEn Cut/Blocks Masks with 2, 3 and sometimes 4 masks, based on how close are the cut shapes to each other. Recently, a Selective- Etching SADP-SID approach was introduced in advanced nodes, where Cut/Blocks are divided into two types, Mandrel Cut/Blocks that cut only Mandrel tracks, and non-Mandrel Cut/Blocks that cut only non-Mandrel tracks, and hence these two cut/Blocks masks can overlap each other and this significantly mitigates spacing constrains between the two Cut/Block masks.

In this work we present a comparison between manufacturing flows of traditional approach SADP-SID and Selective- Etching SADP-SID, and how can the process definition of each approach affects spacing constraints between Cut/Blocks patterns, OPC masks, and accordingly, affect the final manufactured patterns quality quantified based on Edge- Placement-Error (EPE) and Process Variation Band (PVBand).
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ahmed Hamed Fatehy, Rehab Kotb, and James Word "Comparison between multi-colored LEn SADP/SAQP and selective-etching SADP/SAQP", Proc. SPIE 10588, Design-Process-Technology Co-optimization for Manufacturability XII, 105880P (20 March 2018); https://doi.org/10.1117/12.2297417
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Manufacturing

Photomasks

Etching

Model-based design

Optical proximity correction

Double patterning technology

Extreme ultraviolet

RELATED CONTENT


Back to Top