Paper
2 April 2010 Conventional and reversed image printing in electron beam direct write lithography with proximity effect corrections based on dose and shape modification
Author Affiliations +
Abstract
For shortening the writing time, especially in shaped Electron Beam Direct Writing (EBDW), it is crucial to reduce the number of shapes and the coverage of layout for exposure. The determination of conventional or reversed image printing according to the process integration is one of the concerns for time and cost-effective process in the EBDW. We have studied two different cases for the purpose above. First, the proximity effect correction (PEC) with dose modification applied on each tone of resists, positive and negative, for the printing of conventional and reversed images. The CDs that are obtained from the both printed images compared and are either with that from the simulations. Secondly, the two different types of PEC, dose and shape modification, applied to a conventional image using an identical point spread function (PSF). The line edge roughness (LER), line width roughness (LWR) and CDs in dose and shape corrected conventional image pattern have been measured and compared. The MGS/PROXECCO was used for all the preparation of exposure data mentioned above. In summary, we suggest the strategies of efficient PEC for the EBDW of contrasting images, propose the available method of PEC for the time-efficient EBDW, and for the further multiple EBDW developments.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kang-Hoon Choi, Manuela Gutsch, Martin Freitag, Katja Keil, Philipp Jaschinsky, and Christoph Hohle "Conventional and reversed image printing in electron beam direct write lithography with proximity effect corrections based on dose and shape modification", Proc. SPIE 7637, Alternative Lithographic Technologies II, 76370W (2 April 2010); https://doi.org/10.1117/12.846514
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Electron beam direct write lithography

Cadmium sulfide

Image processing

Printing

Line width roughness

Line edge roughness

Electron beams

Back to Top