Open Access Paper
24 April 2014 Front Matter: Volume 9052
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9052, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Optical Microlithography XXVII, edited by Kafai Lai, Andreas Erdmann, Proceedings of SPIE Vol. 9052 (SPIE, Bellingham, WA, 2014) Article CID Number.

ISSN: 0277-786X

ISBN: 9780819499752

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2014, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/14/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9052_905201_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print and on CD-ROM. Papers are published as they are submitted and meet publication criteria. A unique, consistent, permanent citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages. Numbers in the index correspond to the last two digits of the six-digit CID Number.

Conference Committee

Symposium Chair

  • Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States)

Symposium Co-chair

  • Mircea V. Dusa, ASML US, Inc. (United States)

Conference Chair

  • Kafai Lai, IBM Corporation (United States)

Conference Co-chair

  • Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

Conference Program Committee

  • Pary Baluswamy, Micron Technology, Inc. (United States)

  • Peter D. Brooker, Synopsys, Inc. (United States)

  • Will Conley, Cymer, an ASML company (United States)

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

  • Carlos Fonseca, Tokyo Electron America, Inc. (United States)

  • Bernd Geh, Carl Zeiss SMT Inc. (United States)

  • Yuri Granik, Mentor Graphics Corporation (United States)

  • Young Seog Kang, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Sachiko Kobayashi, Toshiba Corporation (Japan)

  • Jongwook Kye, GLOBALFOUNDRIES Inc. (United States)

  • Tsai-Sheng Gau, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Wilhelm Maurer, Infineon Technologies AG (Germany)

  • Soichi Owa, Nikon Corporation (Japan)

  • John S. Petersen, Periodic Structures, Inc. (United States)

  • Xuelong Shi, Semiconductor Manufacturing International Corporation (China)

  • Sam Sivakumar, Intel Corporation (United States)

  • Bruce W. Smith, Rochester Institute of Technology (United States)

  • Kazuhiro Takahashi, Canon Inc. (Japan)

  • Geert Vandenberghe, IMEC (Belgium) Reinhard Voelkel, SUSS MicroOptics SA (Switzerland)

Session Chairs

  • 1 Keynote Session

    Kafai Lai, IBM Corporation (United States)

    Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

  • 2 Optics and Beyond

    Will Conley, Cymer, an ASML company (United States)

    Bernd Geh, Carl Zeiss SMT Inc. (United States)

  • 3 Image and Process Control

    Tsai-Sheng Gau, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

    Carlos Fonseca, Tokyo Electron America, Inc. (United States)

  • 4 Non-IC Applications

    Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

    Kazuhiro Takahashi, Canon Inc. (Japan)

  • 5 OPC Algorithms

    Sachiko Kobayashi, Toshiba Corporation (Japan)

    Xuelong Shi, Semiconductor Manufacturing International Corporation (China)

  • 6 Multiple Patterning and SMO

    Geert Vandenberghe, IMEC (Belgium)

    Young Seog Kang, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • 7 Overlay Measurement and Control: Joint Session with Conference 9050

    Alexander Starikov, I&I Consulting (United States)

    Pary Baluswamy, Micron Technology, Inc. (United States)

  • 8 OPC Modeling

    Yuri Granik, Mentor Graphics Corporation (United States)

    Peter D. Brooker, Synopsys, Inc. (United States)

  • 9 Pattern-Aware Techniques: Joint Session with Conference 9053

    Luigi Capodieci, GLOBALFOUNDRIES Inc. (United States)

    Jongwook Kye, GLOBALFOUNDRIES Inc. (United States)

  • 10 Mask Topography Modeling

    Daniel Sarlette, Infineon Technologies Dresden (Germany)

    Brian J. Grenon, RAVE LLC (United States)

  • 11 DSA Design for Manufacturability: Joint Session with Conferences 9049 and 9053

    Michael A. Guillorn, IBM Thomas J. Watson Research Center (United States)

    Bruce W. Smith, Rochester Institute of Technology (United States)

  • 12 Toolings

    Soichi Owa, Nikon Corporation (Japan)

    Reinhard Voelkel, SUSS MicroOptics SA (Switzerland)

Introduction

This year we celebrate the 27th year of the Optical Microlithography conference. During the last few decades of tremendous success of the semiconductor industry, optical lithography has been the main enabling technique behind the continuous growth of component density in integrated circuits defined by Moore’s Law. In the VLSI area many of us have witnessed the previously unanticipated advance in extending optical lithography to pattern features with size much smaller than the wavelength of exposure.

The success of this continuous scaling so far by extending optical lithography comes from mainly the more holistic optimization of the lithography process. Technology scaling has been enabled by different technology elements that are covered by this conference. They are: first, physical scaling due to exposure tool, mask, photoresist and process advancement; second, computational scaling to lower the k1 value by algorithmic and modeling advances, as well as high performance computing tools; third, the higher level of integration of both lithography and etch process in multiple patterning techniques; and fourth, the advancement of advanced material technique, like Directed Self-Assembly (DSA) as a complementary lithography approach.

With the delay of EUV Lithography for High Volume Manufacturing (HVM), optical lithography technologies, such as 193nm immersion lithography technology, together with a variety of multiple patterning technologies are rapidly becoming the dominant approaches for 32nm, 22nm, 14nm, and 10nm technology nodes. Alternative lithography technologies, such as Directed Self-Assembly (DSA), have also quickly attracted more attention and are being considered as possible complementary approach for 7nm node and beyond.

Meanwhile, advances in optical lithography become increasingly important for several non-IC areas including silicon-photonics, flat panel displays, and other applications. Although these applications mostly involve larger feature sizes, they have their own challenges such as extraordinary CD control, unusual profile shapes, and ultralow line-edge roughness.

We will continue to strive to make the SPIE Optical Microlithography conference remain as the premiere optical lithography conference in the world and provide the best platform for the lithography community to exchange ideas and success.

This proceedings volume collects selected papers presented at the 27th Optical Microlithography Conference (OM XXVII), held 25–27 February 2014, as part of the SPIE Advanced Lithography Symposium 2014. There were 12 oral sessions in the OM XXVII, and a large poster session.

Session 1: Keynote session

Session 2: Optics and Beyond

Session 3: Image and Process Control

Session 4: Non-IC Applications

Session 5: OPC Algorithms

Session 6: Multiple Patterning and SMO

Session 7: Overlay Measurement and Control: Joint Session with Conference 9050

Session 8: OPC Modeling

Session 9: Pattern-Aware Techniques: Joint Session with Conference 9053

Session 10: Mask Topography Modeling

Session 11: DSA Design for Manufacturability: Joint Session with Conferences 9049 and 9053

Session 12: Toolings

We would like to take this opportunity to thank all members of the SPIE 2014 Advanced Lithography Symposium Committee for their help in organizing another very successful SPIE Optical Microlithography Conference. The dedication, enthusiasm, and efforts of many volunteers, keynote speakers, invited speakers, and authors of contributed papers of OM XXVII were essential for the success of the conference. We like to thank everyone, along with members and volunteers of the SPIE community for their support and efforts.

Kafai Lai

Andreas Erdmann

© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9052", Proc. SPIE 9052, Optical Microlithography XXVII, 905201 (24 April 2014); https://doi.org/10.1117/12.2066924
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Optical lithography

Photomasks

3D modeling

Optical proximity correction

Electron beam lithography

Model-based design

Back to Top