Paper
25 October 2016 Analyzing EUV mask costs
Author Affiliations +
Abstract
The introduction of Extreme Ultraviolet Lithography (EUV) as a replacement for multiple patterning is based on improvements of cycle time, yield, and cost. Earlier cost studies have assumed a simple assumption that EUV masks (being more complex with the multilayer coated blank) are not more than three times as expensive as advanced ArFi (ArF immersion) masks. EUV masks are expected to be more expensive during the ramp of the technology because of the added cost of the complex mask blank, the use of EUV specific mask tools, and a ramp of yield learning relative to the more mature technologies. This study concludes that, within a range of scenarios, the hypothesis that EUV mask costs are not more than three times that of advanced ArFi masks is valid and conservative.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Michael Lercel and Bryan Kasprowicz "Analyzing EUV mask costs", Proc. SPIE 9985, Photomask Technology 2016, 99850T (25 October 2016); https://doi.org/10.1117/12.2246796
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Photomasks

Optical lithography

Inspection

Statistical analysis

Yield improvement

Manufacturing

RELATED CONTENT

EUV lithography: progress, challenges, and outlook
Proceedings of SPIE (October 17 2014)
EUV progress toward HVM readiness
Proceedings of SPIE (March 18 2016)
EUV reticle defectivity protection options
Proceedings of SPIE (October 10 2019)
Can we afford to replace chrome?
Proceedings of SPIE (December 06 2004)

Back to Top