Silicon nitride (SiN) have become an essential material for integrated photonics. It is needed whenever very low optical losses, high optical power, low thermal sensitivity or broad wavelength range is required. SiN waveguides therefore present a great interest for applications as diverse as quantum photonics, data-communication, neuromorphic computing, LiDAR, sensing and microwave optic. We present our latest results on two distinct platforms: An 8” LPCVD SiN platform featuring ultra-low loss (5dB/m) and a 12” Physical Vapor Deposition (PVD) SiN platform allowing low loss in the C-band with a low-temperature deposition.
KEYWORDS: Electron beam lithography, Lithography, Deep ultraviolet, Optical alignment, 193nm lithography, Electron beam direct write lithography, Semiconducting wafers, Nanostructures, Nanolithography, Electron beams
Maskless lithography is versatile and suitable for demonstrators covering a large field of applications for advanced devices. Hybrid lithography is a technique reducing significantly writing time by coupling an e-beam tool and a mask-based DUV optical tool. This novel approach involves two consecutive exposures using a unique e-beam resist following by a single development step, unlike complex “mix-and-match” multiple patterning strategies. Besides the matching of e-beam resist sensitivity to both e-beam/DUV exposures, we demonstrate high-resolution capability of CAR resists down to 30nm, while reducing writing time by a factor of 6 by using the hybrid approach. The overlay (OVL) performance between these 2 lithography steps and towards the previous levels meets also the alignment requirements and capabilities.
Directed Self-Assembly (DSA) of Block Copolymers (BCP) by chemo-epitaxial alignment is a promising high resolution lithography technique compatible with CMOS high-volume manufacturing. It allows overcoming limitations in resolution and local stochasticity by conventional, imaging based, lithography. However, for BCP with pitches below 20 nm and guide patterning by immersion lithography (193i), multiplication factors ≥ 4 become necessary, imposing stringent requirements on the guides and defectivity becomes hard to control. The Arkema-CEA (ACE) process flow overcomes this limit by creating the guides by a self-aligned double patterning (SADP) process flow, followed by the deposition of a cross-linkable neutral mat and selective grafting of the guides. This paper reports on the transfer of the process flow to immersion lithography, details challenges encountered in process optimization, notably the dependence of the wetting of the neutral layer on the surface energy and the morphology of the spacers. Last, the paper presents a metrology and defectivity roadmap combined with preliminary, promising results.
Directed Self-Assembly (DSA) of Block Copolymer (BCP) is a promising lithography approach to achieve high resolution pattern dimensions. The current chemo-epitaxy process used to induce block copolymer self-alignment is showing today its limitations. This is due to the resolution limitation of conventional lithography technics needed for the guide formation, used to achieve BCP alignment. This paper introduces a new chemo-epitaxy process, named ACE (Arkema-CEA), which is based on sidewall image transfer (SIT) patterning. This process has the great advantage to offer guides of small critical dimension (CD) and pitch that allows the integration of high χ BCP. In this paper, different parameters of the ACE process are investigated (commensurability, spacer CD …) in order to precisely determine the DSA process window defining the best conditions for BCP alignment. Process window with multiplication factor ranging from 2 to 4 are obtained on BCP under investigation.
Incorporated in relevant design of guiding templates, DSA (Direct Self Assembly) patterning offers a cost-effective manufacturing method to support pattern shrink for advanced technology nodes. The physical characteristics of the BCP moieties and the self-assembly process, pose unique 3D metrology challenges. Pattern fidelity issues of DSA caused by dislocations, forms residual later that can impact pattern fidelity after Etch. Addressing this challenge can assist the R&D groups to monitor material and process quality to meet patterning specifications.
In this paper, we highlight the usage of BSE (Back Scattered Electron) metrology as an innovative approach to characterize the DSA process. Experimental data demonstrate the possibility to characterize the polymer residual layer quality and even assess its thickness for the pattern etch transfer. The quality of the information brought by the BSE imaging make it a must-have to quantify the bottom opening for processed of DSA techniques of pitch multiplication and shrink, from which are not visible with conventional SEM images.
CH (Contact hole) patterning by DSA (Directed Self-Assembly) of BCP (Block Copolymer) is still attracting interest from the semiconductor industry for its CH repair and pitch multiplication advantages in sub-7nm nodes. For several years, extensive studies on DSA CH patterning have been carried out and significant achievements have been reported in materials and process optimization, CMOS integration and design compatibility and advanced characterization [1-4]. According to these studies, if a common agreement was clearly made for the use of PS-b-PMMA material as a potential candidate for DSA CH patterning integration in advanced nodes, the associated guiding template material was not yet selected and is still under investigation. Whereas the most reported guiding template materials for DSA PS-b-PMMA CH patterning are organic-based (resist or organic hard mask), we propose in this work to investigate a DSA process based on inorganic template material (silicon oxide based). Indeed, this latter offers some advantages over organic template: better surface affinity control, higher thermal stability during BCP self-assembly annealing, easier 3D-morphology imaging of DSA patterns and the possibility of wafer rework after the DSA step.
The inorganic template based DSA process was first optimized using the planarization approach [5]. We demonstrated that the silicon oxide thickness should be properly adjusted to allow a good control of the BCP thickness over different guiding template densities. Afterwards, we compared the DSA performances (critical dimension: CD; CD uniformity: CDU, contact misalignment and defectivity) between both inorganic and organic template approaches. Equivalent results were obtained as shown in Figure 1. Finally, we demonstrated that inorganic template allows the rework of DSA wafers: similar CD and CDU for both guiding and DSA patterns were obtained after 3 cycles of rework (Figure 2).
Densely patterned contact holes form a key component of integrated circuits, but there are significant challenges to patterning holes with a sub 10-nm radius. The directed self-assembly (DSA) of block copolymers offers a potential solution, where a larger hole is initially patterned and a cylinder forming block copolymer (BCP) is assembled inside. The radius of the inner BCP cylinder can now be used to template the hole radius. It can be particularly challenging to characterize the internal structure of the DSA patterned contact hole, particularly for features such as the residual layer, which may adversely impact the etching process. The high aspect ratio of these features makes top-down characterization nearly impossible, forcing the use of challenging cross-section based approaches.
Critical Dimension small angle X-ray scattering (CDSAXS) offers the possibility of characterizing the internal structure of DSA patterned contact holes. CDSAXS is a variable angle scattering technique which combines measurements from different sample angles to reconstruct the three-dimensional structure of the sample. In this case the scattering yields a two-dimensional pattern, where the off-axis peaks contain additional information about the structure. A model based inverse analysis is then used to fit the scattering and evaluate the structure of the measured target. Contact holes were prepared with a variety of radii and surface treatments in order to evaluate which conditions resulted in optimal assembly of the BCP inside the template. The results demonstrate a correlation between hole radius, surface treatment and residual layer thickness.
We investigate the fabrication of sub-20 nm pillars by DSA lithography using PS-cylinder-forming PS-b-PMMA block copolymer (BCP). The approach is based on the removal of PMMA-matrix by either dry or wet etching to form PS pillars which act as a soft etching mask that can be further transferred to an intermediate hard one and then to the substrate. The process conditions of BCP self-assembly were optimized in terms of annealing temperature, brush layer composition and film thickness. It was demonstrated that PS/PMMA volume fractions of 50/50 in the PS-r-PMMA brush layer is the most adapted to obtain standing PS cylinders. Top-down SEM images showed a hexagonal array of PS cylinders in a PMMA matrix with a natural period of 33.5 nm (determined by Fast Fourier Transform FFT method) and CD around 15 nm. Both wet and dry etching strategies for PMMA removal were discussed. It was shown that UV exposure followed by organic solvent development is necessary to decrease pattern collapsing during PMMA removal step which is the most critical issue for pillar fabrication. PMMA removal by dry etching was shown to completely avoid this pattern collapsing. Finally, pillars etching transfer to typical organic Si containing antireflective coating and spin on carbon (SiARC/SOC) hard mask and then to the silicon substrate was demonstrated. Si pillars of 15 nm CD and 70 nm height were obtained with a straight profile shape.
KEYWORDS: Directed self assembly, Lithography, Line width roughness, Nanoimprint lithography, Semiconducting wafers, Etching, Electron beam lithography, System on a chip, Critical dimension metrology, Photoresist processing
In the lithography landscape, EUV technology recovered some credibility recently. However, its large adoption remains uncertain. Meanwhile, 193nm immersion lithography, with multiple-patterning strategies, supports the industry preference for advanced-node developments. In this landscape, lithography alternatives maintain promise for continued R&D. Massively parallel electron-beam and nano-imprint lithography techniques remain highly attractive, as they can provide noteworthy cost-of-ownership benefits. Directed self-assembly lithography shows promising resolution capabilities and appears to be an option to reduce multi-patterning strategies. Even if large amount of efforts are dedicated to overcome the lithography side issues, these solutions introduce also new challenges and opportunities for the integration schemes.
High chi organic lamellar-forming block copolymers were prepared with 18 nm intrinsic period Lo value. The BCPs were coated on a neutral layer on silicon substrates and were either thermally annealed or exposed to solvent vapors both in a 300mm track. The effect of lowering the glass transition temperature (Tg) on the high chi BCP was investigated. Process temperatures and times were varied. It was found that the BCP having lower Tg exhibits faster kinetics and is able to reach alignment in a shorter time than a similar BCP having higher Tg. Fingerprint defect analysis also shows that the BCP with lower Tg has lower defects. The results show that fingerprint formation can be achieved with either ether or ester type solvents depending on the BCP used. The results show that a track process for solvent annealing of high-χ BCPs is feasible and could provide the path forward for incorporation of BCP in future nodes. Finally, directed self-assembly was demonstrated by implemented high chi polymers on a graphoepitaxy test vehicles. CD and line width roughness was evaluated on patterns with a multiplication factor up to 7.
DSA patterning is a promising solution for advanced lithography as a complementary technique to standard and future lithographic technologies. In this work, we focused on DSA grapho-epitaxy process-flow dedicated for contact hole applications using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers. We investigated the impact on the DSA performances of the surface affinity of a guiding pattern design by ArF immersion lithography. The objective was to control and reduce the polymer residue at the bottom of the guiding pattern cavities since it can lead to lower a DSA-related defectivity after subsequent transfer of the DSA pattern. For this purpose, the DSA performances were evaluated as a function of the template surface affinity properties. The surface affinities were customized to enhance DSA performances for a PS-b-PMMA block copolymer (intrinsic period 35nm, cylindrical morphology) by monitoring three main key parameters: the hole open yield (HOY), the critical dimension uniformity (CDU-3σ) and the placement error (PE-3σ). Scanning transmission electron microscopy (STEM) was conjointly carried out on the optimized wafers to characterize the residual polymer thickness after PMMA removal. The best DSA process performances (i.e., hole open yield: 100%, CDU-3σ: 1.3nm and PE-3σ: 1.3nm) were achieved with a thickness polymer residue of 7 nm. In addition, the DSA-related defectivity investigation performed by review-SEM enabled us to achieve a dense (pitch 120nm) contact area superior to 0.01mm2 free of DSA-related defects. This result represents more than 6x105 SEM-inspected valid contacts, attesting the progress achieved over the last years and witnessing the maturity of the DSA in the case of contact holes shrink application.
We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35 nm), good DSA performances are achieved: CDU-3σ=1.2 nm, PE-3σ=1.2 nm, and HOY=100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.
Directed self-assembly (DSA) of block copolymers has shown interesting results for contact hole application, as a vertical interconnection access for CMOS sub-10 nm technology. The control of critical dimension uniformity (CDU), defectivity, and placement error (PE) is challenging and depends on multiple processes and material parameters. This paper reports the work done using the 300-mm pilot line available in materials to integrate the DSA process on contact and via level patterning. In the first part, a reliable methodology for PE measurement is defined. By tuning intrinsic edge detection parameters on standard reference images, the working window is determined. The methodology is then implemented to analyze the experimental data. The impact of the planarization process on PE and the importance of PE as a complement of CDU and hole open yield for process window determination are discussed.
Directed Self-Assembly (DSA) is a well-known candidate for next generation sub-15nm half-pitch lithography. [1-2] DSA processes on 300mm wafers have been demonstrated for several years, and have given a strong impression due to finer pattern results. [3-4] On t he other hand, specific issues with DSA processes have begun to be clear as a result of these recent challenges. [5-6] Pattern placement error, which means the pattern shift after DSA fabrication, is recognized as one of these typical issues. Coat-Develop Track systems contribute to the DSA pattern fabrication and also influence the DSA pattern performance.[4] In this study, the placement error was investigated using a simple contact-hole pattern and subsequent contact-hole shrink process implemented on the SOKUDO DUO track. Thus, we will show the placement error of contact-hole shrink using a DSA process and discuss the difference between DSA and other shrink methods.
X. Chevalier, P. Coupillaud, G. Lombard, C. Nicolet, J. Beausoleil, G. Fleury, M. Zelsmann, P. Bezard, G. Cunge, J. Berron, K. Sakavuyi, A. Gharbi, R. Tiron, G. Hadziioannou, C. Navarro, I. Cayrefourcq
Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer… indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes.
For sub-10nm technologies, the semiconductor industry is facing the limits of conventional lithography to achieve narrow dimensions. DSA (Directed Self-Assembly) of Block Copolymers (BCP) is one of the most promising solutions to reach sub-10nm patterns with a high density. One challenge for DSA integration is the removal of PMMA selectively to PS. In this paper, we propose to study PMMA removal selectively to PS by screening different plasma etch chemistries. These chemistries developed on blanket wafers have been tested on cylindrical and lamellar patterned wafers.
In this paper, we focus on the directed-self-assembly (DSA) application for contact hole (CH) patterning using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair and multiplication which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern densityrelated- defects that are encountered with the commonly-used graphoepitaxy process flow. Our study also aims to evaluate DSA performances as function of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE) and defectivity (Hole Open Yield = HOY). Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable to significantly enhance CDU and PE. Regarding materials properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0 = 35nm), high DSA performances are achieved: CDU-3σ = 1.2nm, PE-3σ = 1.2nm and HOY = 100%. The stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks. Finally, simulation results, using a phase field model based on Ohta-Kawasaki energy functional are presented and discussed with regards to experiments.
Contact hole (CH) patterning by directed self-assembly (DSA) of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is extensively studied. Based on statistical analysis of defectivity and CD measurements after DSA, a process window (PW) for CH shrink is experimentally determined as a function of guiding pattern dimensions and BCP molecular weights corresponding to BCP natural periods. This PW permits to define the suitable BCP molecular weight and the best guiding CD ranges required to achieve a desired DSA hole CD within a specific tolerance. As an example, for a DSA hole CD targeted at 19.5 nm with 10% tolerance, circular guiding patterns of 52 nm CD with 20% guiding CD latitude are needed using a 35-nm-natural-period cylindrical BCP. Furthermore, it is shown that the CH shrink PW is also dependent on the guiding pattern density and the DSA process conditions such as the self-assembly annealing and the spin coating conditions. The study also highlights an interesting property of commensurability between guiding pattern dimensions and BCP’s natural period that governs the DSA CH patterning for both CH shrink and CH doubling configurations. This permits one to predict the guiding pattern dimensions needed for CH patterning by DSA using a given BCP of known natural period.
Directed self-assembly (DSA) of block copolymers (BCP) is a promising candidate for alternative micro lithography due to its cost effectiveness, its ability to reduce critical dimension and to increase pattern density. For contact layer patterning, grapho-epitaxy combined with cylindrical BCP is a good candidate. While contact shrink has already been a well-controlled process, contact multiplication is still undergoing further studies. In this paper we propose to study the impact of 193i scanner variations on BCP overlay for contact doubling.
Various blends approaches based on PS-b-PMMA block-copolymers (BCP) systems are studied. The impacts of the different components in the blends on various basic characteristics of interest for lithographic applications are highlighted. More specifically, we show how such approaches can be managed to get a tight control over the different dimensions of the self-assembly, and reach lower defects levels than a single pure BCP. These methods could greatly help the BCP self-assembly technology to be introduced as an actual lithographic technic to further reduce achievable dimensions.
Density multiplication and contact shrinkage of patterned templates by directed self-assembly (DSA) of block copolymers (BCP) stands out as a promising alternative to overcome the limitations of conventional lithography. The main goal of this paper is to investigate the potential of DSA to address contact and via levels patterning with high resolution by performing either CD shrink or contact multiplication. Different DSA processes are benchmarked based on several success criteria such as: CD control, defectivity (missing holes) as well as placement control. More specifically, the methodology employed to measure DSA contact overlay and the impact of process parameters on placement error control is detailed. Using the 300mm pilot line available in LETI and Arkema’s materials, our approach is based on the graphoepitaxy of PS-b-PMMA block copolymers. Our integration scheme, depicted in figure 1, is based on BCP self-assembly inside organic hard mask guiding patterns obtained using 193i nm lithography. The process is monitored at different steps: the generation of guiding patterns, the directed self-assembly of block copolymers and PMMA removal, and finally the transfer of PS patterns into the metallic under layer by plasma etching. Furthermore, several process flows are investigated, either by tuning different material related parameters such as the block copolymer intrinsic period or the interaction with the guiding pattern surface (sidewall and bottom-side affinity). The final lithographic performances are finely optimized as a function of the self-assembly process parameters such as the film thickness and bake (temperature and time). Finally, DSA performances as a function of guiding patterns density are investigated. Thus, for the best integration approach, defect-free isolated and dense patterns for both contact shrink and multiplication (doubling and more) have been achieved on the same processed wafer. These results show that contact hole shrink and multiplication approach using DSA is well compatible with the conventional integration used for CMOS technology.
Directed Self-Assembly (DSA) of Block Copolymers (BCP) is one of the most promising solutions for sub-10 nm nodes. However, some challenges need to be addressed for a complete adoption of DSA in manufacturing such as achieving DSA-friendly design, low defectivity and accurate pattern placement. In this paper, we propose to discuss the DSA integration flows using graphoepitaxy for contact-hole patterning application. DSA process dependence on guiding pattern density has been studied and solved thanks to a new approach called “DSA planarization”. The capabilities of this new approach have been evaluated in terms of defectivity, Critical Dimension (CD) control and uniformity before and after DSA etching transfer.
There has been significant research in the area of modeling self-assembling molecular systems. Directed self-assembly (DSA) has proven to be a promising candidate for cost reduction of processes which use double patterning and an enabler of new technology nodes. Self-consistent field theory and Monte Carlo simulators have the capability to probe and explore the mechanisms driving the different phases of a diblock copolymer system. While such methods are appropriate to study the nature of the self-assembly process, they are computationally expensive and they cannot be used to perform mask synthesis operations nor full chip verification. In this case we focus our effort in establishing the minimum set of conditions that a compact model for the manufacture of contact holes using a grapho epitaxy process for a PS-b-PMMA diblock copolymer system needs. The compact model’s main objectives are to find the guiding pattern that produces the lowest possible placement error, as well as verifying that the intended target structures are present after processing. Given that masks are not perfect, and lithographic process variations are not negligible, it is necessary to understand the mask requirements and the types of Optical Proximity Correction techniques that will be used to build guiding patterns. This paper explores the guiding pattern conditions under which proper assembly is achieved, and how the compact model formulation is able to determine placement of reliably assembling structures as well as identification of the guiding patterns which lead to improper assembly. The research leading to these results has been performed in the frame of the industrial collaborative consortium IDeAL focused on the development of Directed Self-assembly technique by block copolymers.
This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer’s Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti’s 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.
KEYWORDS: Etching, Electron beam lithography, Polymethylmethacrylate, Silicon, Picosecond phenomena, Photomasks, Chemistry, Lithography, System on a chip, Metals
For 11nm and below, several alternatives are still potential candidates to meet the patterning requirements. Spacer patterning, Mask Less Lithography (i.e. Electron beam lithography) and Direct Self Assembly are alternatives under development at CEA-LETI. We have demonstrated the integration of these alternative techniques in front end of line and back end of line levels. Common challenges such as minimum achievable CD, CD control through the integration steps, mask budget and LWR were compared for these techniques.
X. Chevalier, C. Nicolet, R. Tiron, A. Gharbi, G. Chamiot-Maitral, K. Jullian, P. Pimenta-Barros, M. Argoud, J.-L. Peyre, R. Van Spaandonk, G. Fleury, G. Hadziioannou, C. Navarro
Careful control and reproducibility of BCP’s synthesis are mandatory parameters to push-down PS-b-PMMA block-copolymer systems toward its lowest dimensions for microelectronic applications. The self-assembly process optimization of different high-resolution cylindrical PS-b-PMMA block-copolymers (i.e. L0 period below 25 nm) is studied to highlight processes-variations as regard to more classical PS-b-PMMA systems while the characterizations of bulk materials provide deeper insights on the parameters addressing the self-assembly of such materials. The integration of a high-resolution BCP on 300 mm track is then studied to check the capabilities of such materials in terms of lithographic applications. CD uniformity measurements in contact hole shrink approach, as well as the transfer of the BCP mask into typical industrial under-layer stacks leading to aggressive features, show that these materials exhibit promising potentials for advanced lithographic nodes.
Directed Self-Assembly (DSA) is today a credible alternative lithographic technology for semiconductor industry [1]. In the coming years, DSA integration could be a standard complementary step with other lithographic techniques (193nm immersion, e-beam, extreme ultraviolet). Its main advantages are a high pattern resolution (down to 10nm), a capability to decrease an initial pattern edge roughness [2], an absorption of pattern guide size variation, no requirement of a high-resolution mask and can use standard fab-equipment (tracks and etch tools). The potential of DSA must next be confirmed viable for high volume manufacturing. Developments are necessary to transfer this technology on 300mm wafers in order to demonstrate semiconductor fab-compatibility [3-7]. The challenges concern especially the stability, both uniformity and defectivity, of the entire process, including tools and Blok Co-Polymer (BCP) materials. To investigate the DSA process stability, a 300mm pilot line with DSA dedicated track (SOKUDO DUO) is used at CEALeti. BCP morphologies with PMMA cylinders in a PS matrix are investigated (about 35nm natural period). BCP selfassembly in unpatterned surface and patterned surface (graphoepitaxy) configurations are considered in this study. Unpatterned configuration will initially be used for process optimization and fix a process of record. Secondly, this process of record will be monitored with a follow-up in order to validate its stability. Steps optimization will be applied to patterned surface configurations (graphoepitaxy) for contact hole patterning application. A process window of contact hole shrink process will be defined. Process stability (CD uniformity and defectivity related to BCP lithography) will be investigated.
The properties of cylindrical poly(styrene-b-methylmethacrylate) (PS-b-PMMA) BCPs self-assembly in thinfilms are studied when the pure BCPs are blended either with a homopolymer or with another cylindrical PS-b-PMMA based BCP. For both of these approaches, we show that the period of the self-assembled features can be easily tuned and controlled, and that the final material presents interesting characteristics, such as the possibility to achieve thicker defects-free films, as compared to pure block-copolymers having the same period. Moreover, a statistical defectivity study based on a Delaunay triangulation and Voronoi analysis of the self-assemblies made with the different blends is described, and prove that despite their high value of polydispersity index, these blends exhibit also improved selfassembly properties (bigger monocrystalline arrangements and enhanced kinetics of defects annihilation) as compared to pure and monodisperse block-copolymers. Finally, the behavior of the blends is also compared to the ones their pure counter-part in templated approach like the contact-hole shrink to evaluate their respective process-window and response toward this physical constrain for lithographic applications.
Contact hole (CH) patterning by directed-self-assembly (DSA) of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is extensively studied in this paper. Based on statistical analysis performed on 300mm wafers, a process window (PW) for CH shrink is experimentally evaluated in terms of hole open yield and critical dimension (CD) variation after DSA as a function of BCPs of different natural periods and guiding patterns of different dimensions. The PW allowed us to define the suitable BCP molecular weight with the best guiding CD ranges required to achieve a desired DSA hole CD within a specific tolerance. As example, for a DSA hole CD targeted at 19.5 nm with 10% tolerance, circular guiding patterns of 52 nm CD with 20% guiding CD latitude are needed using a 35nm-natural-period BCP. It is also shown that the CH shrink PW is dependent on guiding pattern pitch and on DSA process conditions such as the self-assembly annealing and spin coating conditions. In addition, the study highlights an interesting property of commensurability between guiding pattern dimensions and BCP’s natural period that governs the CH patterning by DSA for both CH shrink and CH doubling configurations. This permits to predict the guiding pattern dimensions needed for CH patterning by DSA using a given BCP of known natural period.
Xavier Chevalier, Célia Nicolet, Raluca Tiron, Ahmed Gharbi, Maxime Argoud, Jonathan Pradelles, Michael Delalande, Gilles Cunge, Guillaume Fleury, Georges Hadziioannou, Christophe Navarro
Poly(styrene)-block-poly(methylmethacrylate) (PS-b-PMMA) block-copolymers (BCP) systems synthesized on an industrial scale and satisfying microelectronic’s requirements for metallic contents specifications are studied in terms of integration capabilities for lithographic applications. We demonstrate in particular that this kind of polymer can efficiently achieve periodic features close to 10 nm. These thin films can be transferred in various substrates through dry-etching techniques. The self-assembly optimization for each polymer is first performed on freesurface, leading to interesting properties, and the changes in self-assembly rules for low molecular-weight polymers are investigated and highlighted through different graphoepitaxy approaches. The improvements in self-assembly capabilities toward low periodic polymers, as well as the broad range of achievable feature sizes, make the PS-b-PMMA system very attractive for lithographic CMOS applications. We conclude by showing that high-χ polymer materials developed in Arkema’s laboratories can be efficiently used to reduce the pattern’s size beyond the ones of PS-b-PMMA based BCP’s capabilities.
PS-b-PMMA block-copolymers systems synthesized on an industrial scale, and satisfying microelectronic’s
requirements for metallic contents specifications, are studied in terms of integration capabilities for lithographic
applications. We demonstrate in particular that this kind of polymer can efficiently achieve periodic features close to 10
nm. These thin-films can be transferred in various substrates through dry-etching techniques. The self-assembly
optimization for each polymer is first performed on free-surface, leading to interesting properties, and the changes in
self-assembly rules for low molecular weight polymers are investigated and highlighted through different graphoepitaxy
approaches. The enhancements in self-assembly capabilities toward low periodic polymers, as well as the broad range of
achievable features sizes render PS-b-PMMA system very attractive ones for lithographic CMOS applications. We
conclude showing that high-χ polymers materials developed in Arkema’s laboratories can be efficiently used to reduce
the pattern’s size beyond the ones of PS-b-PMMA based BCP’s capabilities.
The goal of this paper is to investigate the potential of Directed Self-Assembly (DSA) to address
contact via level patterning, by either Critical Dimension (CD) shrink or contact multiplication. Using the
300mm pilot line available in LETI and Arkema materials, our approach is based on the graphoepitaxy of PS-b-
PMMA block copolymers (BCP). The process consists in the following steps: a) the lithography of guiding
patterns, b) the DSA of block copolymers and PMMA removal and finally c) the transfer of PS patterns into the
under-layer by plasma etching.
Several integration schemes using 193nm dry lithography are evaluated: negative tone development
(NTD) resists, a tri-layer approach, frozen resists, etc. The advantages and limitations of each approach are
reported. Furthermore, the impact of the BCP on the final patterns characteristics is investigated by tuning
different parameters such as the molecular weight of the polymeric constituents and the interaction with the
substrate. The optimization of the self-assembly process parameters in terms of film thickness or bake
(temperature and time) is also reported. Finally, the transfer capabilities of the PS nanostructures in bulk silicon
substrate by using plasma-etching are detailed.
These results show that DSA has a high potential to be integrated directly into the conventional CMOS
lithography process in order to achieve high-resolution contact holes. Furthermore, in order to prevent design
restrictions, this approach may be extended to more complex structures with multiple contacts and nonhexagonal
symmetries.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.