As Extreme Ultraviolet (EUV) lithography advances with the introduction of high numerical aperture (high-NA) systems, the demands on mask writing technology grow significantly. The latest advancement of Multi-Beam Mask Writers (MBMW) has emerged as a critical solution to meet these demands. The most advanced mask writer, the MBMW-301 enhances resolution and overlay precision, vital for high-NA EUV processes. This presentation will explore the adaptation of multi-beam mask writers to accommodate the intricacies of high-NA EUV lithography, focusing on advancements in beam control, pattern fidelity, and throughput efficiency. We will also discuss how these innovations enable the production of more complex mask designs, crucial for next-generation semiconductor devices. The integration of these systems demonstrates substantial improvements in mask quality and manufacturing adaptability, essential for sustaining the pace of semiconductor scaling and complexity in the high-NA EUV era.
Multi-beam mask writers (MBMWs) from IMS Nanofabrication disrupted the mask writing technology in the past decade by offering this technology to the industry with a range of benefits over the preceding variable shaped beam technology. The MBMW-101 enabled write times independent of the pattern complexity, usage of low sensitivity resists at high throughput, and superior resolution and critical dimension uniformity (CDU) capabilities. With these benefits, the technology enabled high volume extreme ultraviolet (EUV) mask manufacturing and the use of inverse lithography technology (ILT) using curvilinear patterns for logic and memory applications to the industry. The MBMW-201 is today’s standard technology for leading edge photo mask patterning and is used in the most advanced mask shops around the globe. Its superior robustness and powerful write modes allow for unprecedented writing efficiency and resolution capability. Now IMS has broadened the spectrum of applications for this technology and released two new products. The MBMW-100 Flex is a versatile mask writer to open multi-beam benefits to mature and intermediate node applications at high throughput and beneficial total cost of ownership, targeting nodes from 32 nm to 10 nm. The MBMW-301 is the third generation leading edge mask writer for ultra-low sensitivity resists with resolution and CDU capabilities meeting EUV high numerical aperture requirements targeting nodes down to 2 nm and beyond. This article will delve into the transformational journey of multi-beam mask writing, from its early beginnings to its current status as the cornerstone of EUV mask production, and provide an overview of the two new models with performance data and lithography results.
Since IMS Nanofabrication introduced the MBMW series of multi-beam mask-writers in 2016, multi-beam technology has continued to improve and mature. Since then, multi-beam has increasingly become the standard solution for leading-edge mask patterning due to its superior writing performance on medium and low sensitivity resists, as well as its capability to pattern even the most complex curvilinear structures at maximum throughput - especially for EUV masks.
Following the release of evolutionary improvements with the MBMW-201 in 2019 and the MBMW-261 in 2022, IMS Nanofabrication releases its third multi-beam tool-generation in 2023: the MBMW-301 – a tool that is aimed at the 2nm-node and High-NA EUV mask production.
This presentation provides an overview of the key features of IMS Nanofabrication's latest generation of multi-beam mask-writers and discloses recent write performance results.
Multi-Beam Mask Writers (MBMW) from IMS Nanofabrication disrupted the mask writing technology in the past decade by offering this technology to the industry with a range of benefits over the preceding variable shaped beam (VSB) technology. The MBMW-101 enabled write times independent of pattern complexity, usage of low sensitivity resists at high throughput, and providing superior resolution and critical dimension uniformity (CDU) capabilities. With these benefits, the technology enabled high volume extreme ultra violet (EUV) mask manufacturing for logic and memory applications to the industry. The MBMW-201 is today’s standard technology for leading edge photo masks patterning and used in the most advanced mask shops around the globe. Its superior robustness and powerful write modes allow an unprecedented writing efficiency and resolution capability. Now IMS broadens the spectrum of application for this technology and releases two new products: The MBMW-100 Flex is a versatile mask writer to open multi-beam benefits to mature and intermediate nodes application at high throughput and beneficial total cost of ownership, targeting nodes from 32nm down to 10nm. The MBMW-301: the next generation leading edge mask writer for ultra-low sensitivity resists with resolution and CDU capabilities meeting EUV high numerical aperture (NA) requirements targeting nodes down to 2nm and beyond. This article will delve into the transformational journey of multi-beam mask writing, from its early beginnings to its current status as the cornerstone of EUV mask production and provide an overview on the two new models with performance data and lithography results.
EUV lithography is currently setting the pace for the semiconductor industry’s expectations on future progress towards the 3nm node and beyond. This technology also defines the upcoming challenges for equipment providers upstream and downstream of the production line among which wafer-level overlay and CD error requirements stand out most prominent. Registration errors on the mask, both local (mid-range) and global (long-range), contribute to overlay errors on the wafer. Here, we will present novel calibration strategies for the IMS Multi-Beam Mask Writer (MBMW) by ZEISS PROVE measurements to meet the mask registration requirements: First, we showcase how we can efficiently leverage the high precision, resolution and fast capture time of the PROVE tool to allow for extensive control and tuning of MBMW properties that affect local registration (LREG) such as systematic residual errors originating from the electron beam optics. Second, we provide insights into the MBMW Registration Improvement Correction (RIC) calibrated with PROVE technology. This feature allows removing remaining systematic local registration errors in the MBMW electron beam array field (82μm x 82μm) resulting in LREG improvement by 30% from 1.2nm to 0.8nm three-sigma. Third, we show how the PROVE technology can be applied efficiently for the calibration of the MBMW’s Thermal Expansion Correction (TEC) that allows compensating systematic global registration errors originating from thermal-mechanical deformations of the mask during the writing process.
Mask Process Correction (MPC) is well established as a necessary step in mask data preparation (MDP) for electron beam mask manufacturing at advanced technology nodes from 14nm and beyond. MPC typically uses an electron scatter model to represent e-beam exposure and a process model to represent develop and etch process effects [1]. The models are used to iteratively simulate the position of layout feature edges and move edge segments to maximize the edge position accuracy of the completed mask. Selective dose assignment can be used in conjunction with edge movement to simultaneously maximize process window and edge position accuracy [2]. MPC methodology for model calibration and layout correction has been developed and optimized for the vector shaped beam (VSB) mask writers that represent the dominant mask lithography technology in use today for advanced mask manufacturing [3]. Multi-beam mask writers (MBMW) have recently been introduced and are now beginning to be used in volume photomask production [4]. These new tools are based on massively parallel raster scan architectures that significantly reduce the dependence of write time on layout complexity and are expected to augment and eventually replace VSB technology for advanced node masks as layout complexity continues to grow [5][6]. While it is expected that existing MPC methods developed for VSB lithography can be easily adapted to MBMW, a rigorous examination of mask error correction for MBMW is necessary to fully confirm applicability of current tools and methods, and to identify any modifications that may be required to achieve the desired CD performance of MBMW. In this paper we will present the results of such a study and confirm the readiness of MPC for multi-beam mask lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.