The compatibility of Free-Electron Lasers (FELs) with Extreme Ultraviolet (EUV) scanners is a pivotal consideration as EUV lithography advances. Unlike plasma sources that emit incoherent light, FELs offer relatively coherent light with distinct characteristics including narrower bandwidth, higher repetition rates, and shorter pulse durations. This study elaborates on the integration process of FEL sources with existing scanner systems without necessitating modifications to the scanner’s illumination or projection optics. We delve into the coherence and speckle considerations crucial for maintaining image quality and minimizing defects in photolithography. Our findings reveal that the spatio-temporal splitting of FEL pulses, facilitated by the scanner’s illumination system, effectively mitigates coherence and speckle-related challenges. Moreover, the analysis of potential pulse damage reveals that despite the high peak power of FELs, the design of the scanner ensures that the risk of damage to optics is minimal. This research shows that it is practical and possible to use FEL sources in EUV scanners, enhancing their performance and efficiency in semiconductor manufacturing.
One of the key steps in the pattern formation chain of extreme ultraviolet (EUV) lithography is the development process to resolve the resist pattern after EUV exposure. The traditional development process might be insufficient to achieve the requirements of ultra-high-resolution features with low defect levels. The aim of this paper is to establish a process to achieve a good roughness, a low defectivity at a low EUV dose, and capability for extremely-high-resolution for high numerical aperture (NA) and hyper-NA EUV lithography. A new development method named ESPERT™ (Enhanced Sensitivity develoPER Technology™) has been introduced to improve the performance of metal oxide-resists (MOR). ESPERT™ as a chemical super resolution technique effectively apodized the MOR chemical image, improving chemical gradient (higher exposure latitude (EL)) and reducing scums (fewer bridge defects). This new development method can also keep the resist profile vertical to mitigate the break defects. The performances of the conventional development and ESPERT™ were evaluated and compared using 0.33 NA EUV, 0.5 NA EUV, and electron beam (EB) exposures, for all line-space (LS), contact hole (CH), and pillar (PL) patterns. Using 0.33 NA EUV scanners on LS patterns, both bridge and break defects were confirmed to be reduced for all 32-nm-pitch, 28-nm-pitch, 26-nm-pitch LS patterns while reducing the EUV dose to size (DtS). In the electrical yield (1 meter length) test of breaks/bridges of 26-nm pitch structures, ESPERT™ reduced EUV dose while its combo yield was almost 100% over a wide dose range of 20mJ/cm². For CH patterns, in the case of 32-nm-pitch AEI (after etch inspection), EL was increased 7.5% up to 22.5%, while failure free latitude (FFL) was widened from 1-nm to 4-nm. A 16-nm-pitch LS pattern was successfully printed with 0.5 NA tool, while a 16-nm-pitch PL and an 18-nm-pitch CH patterns were also achieved with an EB lithography by ESPERT™. With ESPERT™, there was no pillar collapse observed for 12-nm half-pitch PL by 0.5 NA and 8-nm half-pitch PL by EB. With all the advantages of having a high exposure sensitivity, a low defectivity, and an extremely-high-resolution capability, this advanced development method is expected be a solution for high-NA EUV towards hyper-NA EUV lithography.
One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.
The trade-off between resist sensitivity and local critical dimension uniformity (LCDU) of contact hole (C/H) patterning is one of the most challenging issues facing cost effective high-numerical aperture (NA) high volume manufacturing. The focus margin at 0.55 NA is only 36% of that at 0.33 NA, which raises significant concerns about defocus-induced LCDU degradation. A chemically amplified resist (CAR_B) which resolved 24 nm pitch showed zero useful depth of focus (DOF) when considering LCDU specification for 32-nm pitch contact holes. If we relax the LCDU target to 18% of half pitch (or 2.88 nm), a useful DOF of 30 nm was obtained with CAR_B at 32 nm pitch contact hole. If we relax the LCDU target to 20% of half pitch (or 2.8 nm), a useful DOF of less than 10 nm was achieved with CAR_A for 28-nm pitch contact hole. Non-CAR positive-tone resist (PTR) was worse than CAR PTR in terms of LCDU at 32-nm pitch and 28-nm pitch dense contact holes. Non-CAR negativetone resist (NTR) has a demerit of ~8% lower NILS caused by usage of clear-tone mask. For sub 30-nm pitch dense contact hole patterning, it is highly recommended to develop positive-tone non-CAR material and to develop new additional processes that improve LCDU for high-NA implementation.
Continued optimization and improvement of the hardware and procedures for litho, processing, and operations have brought the MET5 ecosystem into a mature phase of its research lifecycle. The past year resulted with improved focus control, improved image stabilization, improved dose calibration, improved processing, 2X increase in shift capacity, new diagnostics for exposure dose and illumination alignment, improved online tools for users to plan and run experiments, improved data security, improved consistency of wafer supply, and improved film thickness metrology. This paper provides a summary of these improvements and learnings.
We have used the MET5 exposure system using “dark field” lithography, where a small σ=0.1 source is wholly contained in the 30% Central Obscuration of the 0.5NA mirror optics. One goal of this paper is to quantify and explain the superior image contrast of dark field lithography over normal dipole imagery. We demonstrate that almost ideal grating images can be obtained over the pitch range from 15 to 25nm. With the x-polarized source, gratings with Horizontal lines (TE polarized) have the best image contrast, while Vertical lines (TM polarized) have lesser contrast, showing less contrast as pitch decreases. By comparing lines of different orientation, the impact of polarization on lithography can be assessed. At the 16nm pitch, the experimental data showed roughly 20% improvement of the LWR metric for TE over TM. Besides the image contrast, we also calculate the effective contrast Ceff by folding in a Gaussian resist blur. These calculations highlight the need to find resist processes with small blur, e.g. with σ < 3nm. Exposure latitude scales with Ceff, as does LWR, LCDU and stochastic defect levels. Therefore optimization of Ceff, at the small pitches needed for production, is of high importance. We have also looked at dense arrays of bright spots produced with dark field imaging, which can produce either dense contact holes or dense pillars depending on the resist process tone. Our experimental results used a negative tone Metal Oxide resist process to print pillar arrays with pitches of 22, 24 and 26nm. Our experiments, and most of our simulations, were done with a standard EUV mask using a Ta-based absorber. Additional simulations explored the use of alternative absorber materials which can increase the dark field image intensity. For example, 25nm thick Ru absorber can more than double the image intensity relative to Ta absorber. The MET5 dark field litho imaging method is well-suited for testing resist processes in advance of the high NA tool availability. Both dense line gratings and dense hole/pillar array images can be imaged with good image contrast. However, pure dark field imaging is not capable of producing all the patterns needed for production, such as the larger pitch structures needed for overlay and alignment marks. On the other hand, there is a kind of “partial” dark field imagery that is very promising for production imaging with the high NA tool. Allowing Source Mask Optimization (SMO) software to include source points within the obscured part of the pupil, i.e. “dark field source points”, implements this capability in a very natural way and seems attractive for High Volume Manufacturing (HMV) applications with the high NA tool in the near future.
Vibration levels in MET5 exposures were reduced from 1.5 nm RMS to 0.8 nm RMS by tuning the vibration isolation system and removing non-compliant hardware. Frequency doubling exposures were improved by replacing the Fourier synthesis pupil scanner mirror. Focus-exposure-matrix outliers have been solved by patching a bug in the control software. 9 nm half-pitch lines and 8 nm half-pitch lines were printed in 11 nm thick MOx resist.
To meet industry demand for EUV materials testing capabilities down to the 2 nm lithography node, the EUV Photoresist Testing Center at Berkeley Lab has been expanded to include a 8-nm resolution, 0.5-NA EUV microfield exposure system with robotic sample processing tailed for research. This paper provides an overview of the capabilities and performance of the 0.5-NA EUV exposure system and discusses printing results since user commissioning shifts began in Q2 2019.
Extreme ultraviolet (EUV) lithography is one of the most promising printing techniques for high-volume semiconductor manufacturing at the 14-nm half-pitch device node and beyond. However, key challenges around EUV photoresist materials, such as the exposure-dose sensitivity or the line-width roughness, continue to impede its full adoption into industrial nanofab facilities. Metrology tools are required to address these challenges by helping to assess the impact of the EUV materials’ properties and processing conditions along different steps of the nanofabrication process. We apply the resonant soft x-ray scattering (RSoXS) technique to gain insights into the structure of patterned EUV resists before the development step takes place. By using energies around the carbon K-edge to take advantage of small differences in chemistry, the electronic density contrast between the exposed and unexposed regions of the resists could be enhanced in order to image the patterns with subnanometer precision. Critical-dimension grazing-incidence small-angle x-ray scattering is then performed at energies where the contrast is maximized, enabling the reconstruction of the three-dimensional shape of the latent image. We demonstrate the potential of RSoXS to provide a high-resolution height-sensitive profile of patterned EUV resists, which will help in quantifying the evolution of critical features, such as the line-edge roughness, at a key step of the nanofabrication process.
A 0.5-NA extreme ultraviolet micro-field exposure tool has been installed and commissioned at beamline 12.0.1.4 of the Advanced Light Source synchrotron facility at Lawrence Berkeley National Laboratory. Commissioning has demonstrated a patterning resolution of 13 nm half-pitch with annular 0.35 – 0.55 illumination; a patterning resolution of 8 nm half-pitch with annular 0.1 – 0.2 illumination; critical dimension (CD) uniformity of 0.7 nm 1σ on 16 nm nominal CD across 80% of the 200 um x 30 um aberration corrected field of view; aerial image vibration relative to the wafer of 0.75 nn RMS and focus control and focus stepping better than 15 nm.
The Berkeley MET5, funded by EUREKA, is a 0.5-NA EUV projection lithography tool located at the Advanced Light Source at Berkeley National Lab. Wavefront measurements of the MET5 optic have been performed using a custom in- situ lateral shearing interferometer suitable for high-NA interferometry. In this paper, we report on the most recent characterization of the MET5 optic demonstrating an RMS wavefront 0.31 nm, and discuss the specialized mask patterns, gratings, and illumination geometries that were employed to accommodate the many challenges associated with high-NA EUV interferometry.
The Berkeley MET5, funded by EUREKA, is the world’s highest-resolution EUV projection lithography tool. With a 0.5-numerical aperture (NA) Schwartzchild objective, the Berkeley MET5 is capable of delivering 8-nm resolution for dense line/space patterns. In order to achieve this resolution, optical aberrations must be accurately characterized and compensated, a task that is complicated by the difficulty in finding a bright, high quality reference wave, and nonlinear effects associated with high incident angles on interferometry targets. The Berkeley MET5 was designed with an in-situ lateral shearing interferometer (LSI) to provide real-time wavefront diagnostics alongside its imaging capabilities.
The geometry of the MET5 makes it a particularly difficult optical system to measure interferometrically. Unlike EUV production tools, the 2-bounce Schwartzchild design is non-telecentric at the image, with an image plane whose normal vector is tilted 1.12 degrees with respect to the optical axis. Shearing interferometers have shown good results measuring EUV wavefronts at low to medium NAs (0.1 - 0.33) with telecentric geometry. However, to accommodate the MET5 geometry, a generalized model of LSI was developed to inform the design and build of a lateral shearing interferometer capable of operating at high-NA and with a tilted image plane. This model predicts non-negligible systematic errors that must be compensated in the analysis.
Specialized pinhole arrays were patterned onto the mask to fill the pupil with spatially filtered light that is incoherently multiplexed from multiple apertures. Due to the relatively large amount of DC flare compared with the signal in the interferograms, illumination profiles were chosen to match the NA of the obscuration so that zero-order light coming through the mask absorber is blocked in the pupil, which results in a finite coherence function width. Because of this, the design of the arrays required balancing the efficiency of the pattern while maintaining enough separation between apertures to accommodate the coherence function width.
Analysis of the interferometric data shows a total RMS wavefront error of 0.6 nm after removal of systematic errors predicted by the LSI model. The bulk of this error lies in astigmatism and coma terms which can be corrected by field position and small adjustments to the alignment of the Schwartzchild optic respectively. The aberration signature of this wavefront is in good agreement with preliminary print data of aberration targets according to aerial image modeling of these features.
The interferometric capability of the Berkeley MET5 is an indispensable part of commissioning the tool, and will allow for the diagnosing and monitoring of tool performance as it begins user operations in the coming months.
Extreme ultraviolet lithography is one of the most promising printing techniques for high volume semiconductor manufacturing at the 14 nm half-pitch device node and beyond. However, key challenges around EUV photoresist materials such as the exposure-dose sensitivity or the line-width roughness continue to impede the full adoption into industrial nanofab facilities. New metrology tools are required to address these challenges by helping to determine the impact of the EUV materials’ properties and processing conditions on the roughness through the different step of the process. Here, we apply the resonant soft x-ray scattering (RSOXS) technique to gain insights into the structure of patterned EUV resists before the development step takes place. By using energies around the carbon absorption edge to take advantage of small differences in chemistry, the electronic density contrast between the exposed and unexposed regions of the resists could be enhanced in order to image the patterns with sub-nm precision. Critical-dimension grazing incidence small-angle X-ray scattering (CDGISAXS) was then performed at energies where the contrast was maximized, enabling the reconstruction of the 3D shape of the latent image. This demonstrates the potential of RSOXS to provide a high-resolution heightsensitive profile of patterned EUV resists, which will help to quantify the evolution of critical features, such as the line edge roughness, at each step of the nanofabrication process.
In this paper we compare two non-interferometric wavefront sensors suitable for in-situ high-NA EUV optical testing. The first is the AIS sensor, which has been deployed in both inspection and exposure tools. AIS is a compact, optical test that directly measures a wavefront by probing various parts of the imaging optic pupil and measuring localized wavefront curvature. The second is an image-based technique that uses an iterative algorithm based on simulated annealing to reconstruct a wavefront based on matching aerial images through focus. In this technique, customized illumination is used to probe the pupil at specific points to optimize differences in aberration signatures.
Contact-hole layer patterning is expected to be one of the first applications for EUV lithography. Conventional darkfield
absorber masks, however, are extremely inefficient for these layers, placing even more burden on the already challenging
source power demands. To address this concern, a checkerboard phase shift mask configuration has been proposed
yielding theoretical throughput gains of 5x assuming a thin-mask modeling.
In this manuscript we describe the fabrication of such a mask and demonstrate its imaging performance using the
SHARP EUV microscope and MET exposure tool at Lawrence Berkeley National Laboratory. For 25-nm dense features,
the phase shift mask was shown to provide a throughput gain of 8x based on SHARP and 7x based on the Berkeley
MET. The higher then predicted gain is expected to be due to the fact that the thin mask modeling used in the initial
prediction misses shadowing effects.
EUV exposures at the SEMATECH Berkeley Microfield Exposure Tool have demonstrated patterning down to 15 nm
half pitch in a chemically amplified resist at a dose of 30 mJ/cm2. In addition, the sensitivity of two organic chemically
amplified EUV resists has been measured at 6.7 nm and 13.5 nm and the sensitivity at 6.7 nm is shown to be a factor of
6 lower than the sensitivity at 13.5 nm. The reduction of the sensitivity of each resist at 6.7 nm relative to the sensitivity
at 13.5 is shown to be correlated to a reduction of the mass attenuation coefficients of the elements involved with
photoabsorption.
Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges
remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains
simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials
have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node,
however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the
required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists,
however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.
KEYWORDS: Distortion, Extreme ultraviolet lithography, 3D modeling, Photoresist materials, Extreme ultraviolet, Lithography, Silicon, Lanthanum, Current controlled current source, Electron beams
Volumetric mechanical resist shrinkage is shown to cause pattern distortions and profile footing. The shrinkage-induced pattern distortions include corner rounding effects and are essentially the explanation for the corner rounding bias previously observed by Anderson et al.[1].
Two previously-studied mechanisms for resist shrinkage are described: SEM-induced shrinkage and deprotection-induced shrinkage. A third mechanism, shrinkage induced at post-apply bake is also described.
Experiments indicate that SEM-induced shrinkage accounts for the vast majority of shrinkage and pattern distortion while deprotection-induced shrinkage, although present, does not contribute significantly to pattern distortion. Shrinkage due to post-apply bake was not observed and thought to be insignificant.
A three-dimensional model for shrinkage, based on the standard elastostatic problem in solid mechanics was implemented into a lithography simulator. The model was able to predict two dimensional pattern distortions similar to those observed experimentally.
This paper describes a method to arbitrarily shape and homogenize high-coherence extreme ultraviolet sources
using time-varying holographic optical elements and a scanning subsystem to mitigate speckle. In systems with
integration times longer than 100 ms, a speckle contrast below 1% can be achieved.
This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm
half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam
exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable
resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New
materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity,
metal-impurity content, outgassing, pattern transfer, and resist strip.
Several high-performing resists identified in the past two years have been exposed at the 0.3-numerical-aperture
(NA) SEMATECH Berkeley Microfield Exposure Tool (BMET) with an engineered dipole illumination optimized
for 18-nm half pitch. Five chemically amplified platforms were found to support 20-nm dense patterning at a
film thickness of approximately 45 nm. At 19-nm half pitch, however, scattered bridging kept all of these resists
from cleanly resolving larger areas of dense features. At 18-nm half pitch, none of the resists were are able to
cleanly resolve a single line within a bulk pattern. With this same illumination a directly imageable metal oxide
hardmask showed excellent performance from 22-nm half pitch to 17-nm half pitch, and good performance at
16-nm half pitch, closely following the predicted aerial image contrast. This indicates that observed limitations
of the chemically amplified resists are indeed coming from the resist and not from a shortcoming of the exposure
tool. The imageable hardmask was also exposed using a Pseudo Phase-Shift-Mask technique and achieved clean
printing of 15-nm half pitch lines and modulation all the way down to the theoretical 12.5-nm resolution limit
of the 0.3-NA SEMATECH BMET.
As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused
on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude
of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced
research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT).
Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools
benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible
with commercial tools.
The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence
illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained.
Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such
methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an
imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a
correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in
chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the
CAR champion from 2008 remains as the highest performing CAR tested to date.
To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA
microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm
half pitch.
Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks.
One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this
tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been
used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a
new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along
with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can
be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask
causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV
masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the
correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been
proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an
ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.
In this paper, the corner rounding bias of a commercially available extreme ultraviolet photoresist is monitored
as molecular weight, photoacid generator (PAG) size, and development time are varied. These experiments show
that PAG size influences corner biasing while molecular weight and development time do not. Large PAGs are
shown to exhibit less corner biasing, and in some cases, lower corner rounding, than small PAGs. In addition,
heavier resist polymers are shown to exhibit less corner rounding than lighter ones.
We describe progress in implementation of blur-based resolution metrics for EUV photoresists. Three sets of blur
metrics were evaluated as exposure-tool independent comparison methods using the Sematech-LBNL EUV microexposure
tool (MET) and ASML α-Demo Tool (ADT) full-field EUV scanner. For the two EUV resists studied here,
deprotection blurs of 15 nm are consistently measured using blur estimation methods based on corner rounding, contact
hole exposure latitude, and process window fitting using chemical amplification lumped parameter models. Agreement
between methods and exposure tools appears excellent. For both resists, SRAM-type lithographic diagnostic patterns at
80 nm pitch are only modestly sensitive to OPC blur compensation and display robust printability (RELS ~ ILS near 50
μm-1 for multiple trench geometries) on the ASML ADT. These findings confirm the continuing utility of blur-based
metrics in a) guiding resist selection for use in EUV process development and integration at the 22 nm logic node and
below, and b) providing an exposure-tool independent set of metrics for assessing progress in EUV resist development.
MOSAIC is a new wavefront metrology that enables complete wavefront characterization from print or aerial
image based measurements. Here we describe MOSAIC and verify its utility with a model-based proof of
principle.
Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV)
resists. One of these tools is the SEMATECH Berkeley 0.3-NA MET operating as a SEMATECH resist and mask test
center. Here we present an update summarizing the latest resist test and characterization results. The relatively small
numerical aperture and limited illumination settings expected from 1st generation EUV production tools make resist
resolution a critical issue even at the 32-nm node. In this presentation, sub 22 nm half pitch imaging results of EUV
resists are reported. We also present contact hole printing at the 30-nm level. Although resist development has
progressed relatively well in the areas of resolution and sensitivity, line-edge-roughness (LER) remains a significant
concern. Here we present a summary of recent LER performance results and consider the effect of system-level
contributors to the LER observed from the SEMATECH Berkeley microfield tool.
Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV)
resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from
high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally
dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of
photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not
be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of
shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor
(MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist
LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects
and compare the results to observed trends in LER performance from EUV resists over the past few years.
Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV-
2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the
density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels
of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The
FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG
concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.
The ability to accurately quantify the intrinsic resolution of chemically amplified photoresists is critical for the optimization of resists for extreme ultraviolet (EUV) lithography. We have recently reported on two resolution metrics that have been shown to extract resolution numbers consistent with direct observation. In this paper we examine the previously reported contact-hole resolution metric and explore the sensitivity of the metric to potential error sources associated with the experimental side of the resolution extraction process. For EUV exposures at the SEMATECH Berkeley microfield exposure tool, we report a full-process error-bar in extracted resolution of 1.75 nm RMS and verify this result experimentally.
Mirror substrates intended for use in the extreme ultraviolet (EUV) regime have exceedingly stringent requirements on
surface finish. Rendering a substrate reflective at EUV wavelength and near normal angles of incidence requires these
mirrors to be coated with high-quality multilayer coatings forming a Bragg reflector. These coatings typically have
period requirements on the order of 7 nm. It is evident that any appreciable roughness on this scale would destroy the
resonant behavior the Bragg structures significantly degrading the reflectivity of the mirror. A rule of thumb is that
approximately 2% reflectivity is lost per Å of surface roughness on the substrate. These requirements significantly
increase the cost and fabrication time for EUV optics. This is especially true when dealing with non-conventional
shapes such as toroids and fly-eye mirrors. Here we present a spin-on-glass process capable of generating super-polished
parts from inexpensive substrates. The method is used to render complex diamond-turned optics compatible for
use in the EUV regime. The method is described in detail and experimental results are presented demonstrating the
effectiveness of the process. Issues of figure control are also discussed.
Resist resolution remains a significant issue for EUV. Strong concerns persist regarding the use of chemically amplified resists owing to their diffusion characteristics. Current EUV resist development is focused on a) large-scale screening efforts in an attempt to identify promising platforms and b) refinement and optimization of the processing of these formulations. Resolution arguably remains the parameter of highest importance at this time.
The intrinsic resist resolution limit has been claimed to be determined by the resist diffusion length and various metrics have been proposed to characterize this diffusion length as well as resist resolution. Characterization of the intrinsic resolution limit of resists, however, is not a trivial issue due to practical complications such as pattern collapse and top-loss. Here we investigate a variety of resolution and diffusion length metrics and study the correlation between these metrics and observed resist performance when applied to a variety of leading EUV resists. The metrics we study include resist modulation transfer function, corner rounding, through-dose sub-resolution contact printing, iso-focal bias, line-edge-roughness correlation length, and mask error factor.
We present an analogous study of resist process refinements. Process refinements are capable of significantly enhancing resolution, expanding process latitude, mitigating resist failure mechanisms, and attenuating line-edge and line-width roughness. Metrics used for correlation of resolution and diffusion length with resist performance show significant utility when applied to process refinement studies as well.
Additionally, we show that LWR mitigation can be accomplished in selected EUV resists using post-development surface conditioner rinses. A similar post-development rinse apprach is also demonstrated to reduce pattern collapse at sub-40 nm CDs. Such strategies may become increasingly attractive as CDs shrink toward 32 nm and 22 nm nodes.
In order to address the crucial problem of high-resolution low line-edge roughness resist for extreme ultraviolet (EUV)
lithography, researchers require significant levels of access to high-resolution EUV exposure tools. The prohibitively high cost
of such tools, even microfield tools, has greatly limited this availability and arguably hindered progress in the area of EUV
resists. To address this problem, we propose the development of a new interference lithography tool capable of working with
standalone incoherent EUV sources.
Although EUV interference lithography tools are currently in operation, presently used designs require illumination with a
high degree of spatial and/or temporal coherence. This, in practice, limits current systems to being implemented at synchrotron
facilities greatly restricting the accessibility of such systems. Here we describe an EUV interference lithography system design
capable of overcoming the coherence limitations, allowing standalone high-power broad sources to be used without the need for
excessive spatial or temporal filtering. Such a system provides promising pathway for the commercialization of EUV
interference lithography tools.
Resist resolution remains a significant issue for EUV. Strong concerns remain with the use of chemically amplified resist
owing to their diffusion characteristics. Currently EUV resist development is primarily focused on large-scale screening
efforts in an attempt to identify platforms showing promise in a variety of areas with resolution arguably being the
parameter of highest importance at this time. The characterization of the intrinsic resolution limit of resists, however, is
not a trivial issue due to practical complications such as pattern collapse and top-loss. Note that the intrinsic resist
resolution limit has been claimed to be determined by the resist diffusion length and various metrics have been proposed
to characterize this diffusion length as well as resist resolution. Here we investigate a variety of resolution and diffusion
length metrics and study the correlation between these metrics and observed resist performance when applied to a variety
of leading EUV resists. The metrics we study include iso-focal bias, line-edge-roughness correlation length, resist
modulation transfer function, and corner rounding.
Operating as a SEMATECH resist test center, the Berkeley 0.3-NA EUV microfield exposure tool continues to play a
crucial role in the advancement of EUV resists and masks. Here we present recent resist-characterization results from the
tool as well as tool-characterization data. In particular we present lithographic-based aberration measurements
demonstrating the long-term stability of the tool. We also describe a recent upgrade to the tool which involved redesign
of the programmable coherence illuminator to provide improved field uniformity as well as a programmable field size.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.