There is a growing interest in new spin on metal oxide hard mask materials for advanced patterning solutions both in BEOL and FEOL processing. Understanding how these materials respond to plasma conditions may create a competitive advantage. In this study patterning development was done for two challenging FEOL applications where the traditional Si based films were replaced by EMD spin on metal oxides, which acted as highly selective hard masks. The biggest advantage of metal oxide hard masks for advanced patterning lays in the process window improvement at lower or similar cost compared to other existing solutions.
Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.
It is well known that metal oxide films are useful as hard mask material in semiconductor industry for their excellent etch resistance against plasma etches. In the advanced lithography processes, in addition to good etch resistance, they also need to possess good wet removability, fill capability, in high aspect ratio contacts or trenches. Conventional metal containing materials can be applied by chemical vapor deposition (CVD) or atomic layer deposition (ALD). Films derived from these techniques have difficulty in controlling wet etch, have low throughput and need special equipment. This leads to high costs. Therefore it is desirable to develop simple spin-on coating materials to generate metal oxide hard masks that have good trench or via filling performances using spin track friendly processing conditions. In this report, novel spin-on type inorganic formulations providing Ti, W, Hf and Zr oxide hard masks will be described. The new materials have demonstrated high etch selectivity, good filling performances, wet removal capability, low trace metals and good shelf-life stability. These novel AZ® Spin-on metal hard mask formulations can be used in several new applications and can potentially replace any metal, metal oxide, metal nitride or silicon-containing hard mask films currently deposited using CVD process in the semiconductor manufacturing process.
Hardmasks are indispensable materials during pattern transfer to the desired substrates in the semiconductor
manufacturing process. Primarily there are two types of hardmask materials - organic and inorganic - and they can
be coated onto substrates or underlying materials either by a simple spin-on process or by more expensive methods
such as chemical vapor deposition (CVD), atomic layer deposition (ALD) and sputtering process. Most inorganic
hardmasks such as SiO2, SiON, SiN and TiN are deposited using the CVD process.
Future nodes require hardmasks with high etch resistance as the designs move from horizontal to vertical (3D). We
have reported novel spin-on metallic hardmasks (MHM) with comparable or higher etch resistance than SiO2.1-2 In
addition to high etch resistance, they are easy to remove using wet etch chemicals. The spin-on process offers high
throughput and commonly used spin tracks can be utilized; thereby reducing overall process costs when compared
with CVD.
Via-fill performance is also an important attribute of hardmask materials for these future nodes. Organic spin-on
materials, both siloxane- and carbon-based, are used in filling applications of deep via or deep trench fill, such as
those found in LELE double-patterning schemes. Inorganic materials deposited by either chemical vapor deposition
(CVD) or atomic layer deposition (ALD) have higher resistance to oxygenated plasma than organic materials, but
are hindered by their poor filling performance. Therefore, novel tungsten (W) containing MHM materials having
both good filling performance and higher resistance to oxygenated plasma than organic materials would be of value
in some filling applications. The present paper describes specific metal oxides useful for filling applications. In
addition to basic filling performance and etch resistance, other properties such as optical properties, outgas and shelf
life via forced aging etc. will be discussed.
Shot noise is a significant issue in EUV lithography, especially in printing small area features like contact holes. This
brings about LCDU (Local CD Uniformity) issue and LCDU-sensitivity tradeoff. This paper describes efforts to alleviate
this issue through a novel EUV Underlayer (UL) chemistry design approach. The novel component “buffer” was
introduced into EUV UL formulations to balance back exposure energy from UL to the resist at different incident
positions. Measured back exposure dose from UL shows much lower variation (6σ/mean) compared with shot noise of
resist absorbed dose. Thus summed energy variation will be suppressed when counting back exposure effect of UL,
namely shot noise is reduced. Through reported shot noise model, our calculation suggests 30% sensitivity improvement
and 13.4% shot noise suppression can be expected. Actual lithographic evaluations demonstrated simultaneous LCDU
and sensitivity improvement. The feasibility of 30% sensitivity improvement by Metal hard mask (MHM) material was
tested. The combination of buffer functionalized UL and MHM was modeled.
Photoresists play a key role in enabling the patterning process, and the development of their chemistry has contributed significantly to the industry’s ability to continue shrinking device dimensions. However, with the increasing complexity of patterning ever smaller features, photoresist performance needs to be supported by a large number of materials, such as antireflective coatings and anti-collapse rinses. Bottom anti-reflective coatings are widely used to control reflectivity-driven pattern fidelity in i-line and DUV exposures. While no such reflectivity control is required at EUV wavelengths, it has been demonstrated that use of an EUV underlayer (EBL) coating with high EUV photon absorption (EPA) unit can improve resist performance such as sensitivity and resist-substrate poisoning, thereby improving resolution and process window. EBL can also help to reduce the effect of out-of-band (OoB) irradiation. Traditionally, final photoresist image cleaning after the develop step has been performed using de-ionized water, generally known as a “rinse step”. More recently pattern collapse has developed to a major failure mode in high resolution lithography attributed to strong capillary forces induced by water resulting in pattern bending (‘pattern sticking’) or adhesion failure. With decreasing feature geometries (DPT immersion lithography, EUV) the benefit of rinse solutions to prevent pattern collapse has increased. In addition such rinse solutions can in some cases improve defects and LWR. In this paper we describe the advantages of AZ® EBL series of EUV underlayer materials and EUV FIRM® EXTREME™ rinse solutions when applied individually and in combinations. It is demonstrated that the use of underlayer materials can help improve LWR through improvement of resist profiles. Use of FIRM® EXTREME™ rinse is shown to provide significant improvement in collapse margin and total defect counts.
Since the critical dimensions in integrated circuit (IC) device fabrication continue to shrink below 32 nm, multilayer stacks with alternating etch selectivities are required for successful pattern transfer from the exposed photoresist to the substrate. Inorganic resist underlayer materials are used as hard masks in reactive ion etching (RIE) with oxidative gases. The conventional silicon hardmask has demonstrated good reflectivity control and reasonable etch selectivity. However, some issues such as the rework of trilayer stacks and cleaning of oxide residue by wet chemistry are challenging problems for manufacturability. The present work reveals novel spin-on underlayer materials containing significant amounts of metal oxides in the film after baking at normal processing conditions. Such an inorganic metal hardmask (MHM) has excellent etch selectivity in plasma etch processes of the trilayer stack. The composition has good long term shelf life and pot life stability based on solution LPC analysis and wafer defect studies, respectively. The material absorbs DUV wavelengths and can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity under DUV exposure of photoresist. Some of these metal-containing materials can be used as an underlayer in EUV lithography to significantly enhance photospeed. Specific metal hard masks are also developed for via or trench filling applications in IRT processes. The materials have shown good coating and lithography performance with a film thicknesses as low as 10 nm under ArF dry or immersion conditions. In addition, the metal oxide films or residues can be partially or completely removed by using various wet-etching solutions at ambient temperature.
EUV lithography is expected to be an important technology for manufacturing 22 nm node and beyond in the
semiconductor industry. To achieve the desired resist RLS performance for such fine feature patterns, multilayer
materials are almost certainly needed to define the overall lithography process. The resist modeling and experiment
studies suggest high EUV absorbance of the film improves resolution, line width roughness and sensitivity. In this paper,
we report the studies of new EUV underlayers (EBL) based on crosslinkable organic underlayer materials with high
EUV photon absorption (EPA) unit. The lithography results for the new EUV underlayer materials have demonstrated
advantages over conventional organic underlayer in terms of resist sensitivity, resolution, process window, pattern
profile, collapse margin, and possibly line width roughness.
To obtain high resolution lithography in semiconductor industry for 45 nm node and beyond, 193 nm immersion lithography is a state-of-the-art technology. The hyper NA process in immersion technology requires unique design of bottom antireflective coating (BARC) materials to control reflectivity and improve lithography performance. Based on simulations, high n low k materials are suitable for BARC applications in hyper NA process. This paper describes the principle of the material development of high n low k BARC materials and its applications in hyper NA lithography process. The BARC material contains a dye with absorbance maximum lower than the exposure wavelength, e.g 170-190 nm. The enhancement of n values due to anomalous dispersion was illustrated by dispersion curves of new BARC materials. The relationship of the optical indices of BARC materials at 193 nm with the absorption properties of dyes was investigated. The novel high n low k materials have shown excellent lithography performances under dry and immersion conditions.
Photolithography is the driving technology and key enabler for the fabrication of integrated circuits with continuously decreasing feature sizes. Currently, state-of-the-art photolithography materials and processes can fabricate sub-100nm features, but significant technical hurdles remain in making sub-100nm features. These challenges include the understanding of LER (Line Edge Roughness) that will have a broad industrial impact. The 193nm resist has a thin gel layer at the interface of the developed resist and the developer, and resist patterns are formed by random detachment of this gel layer during development in the developer. Since the detachment of gel layer occurs randomly within the gel zone, LER increases in the case of higher gel layer thickness. This gel layer thickness can be determined by gel layer development model which consider two simultaneous reactions at the front and back of gel layer during dissolution of gel layer in the developer. This study attempts to explain LER using the concept of gel layer of which thickness is determined by hydrophilic and hydrophobic balance depending on the formulations of chemically amplified photoresists. LER can be minimized if we control the hydrophilic and hydrophobic balance by tuning the structure of polymer backbone in chemically amplified photoresists and minimize the gel layer thickness.
The phenolic polymer is assumed to be transferred, via intermediate gel state, from the resin to the developer solution. A mechanism for the development of phenolic polymer is proposed to derive a development rate equation considering gel layer formation. In the previous paper, new model using the concept of gel layer made it possible to provide a theoretical interpretation for experimental data of dissolution behavior, for example the dependence of the dissolution rate of phenolic polymer on the aqueous base concentration and molecular weight of resin. Following the previous paper, the dependence of the dissolution rate on the size of the base cation can be explained by taking the diffusion of base through the gel layer into account.
New model using the concept of gel layer was recently presented that aimed to provide a theoretical interpretation for experimental data of dissolution behavior to control the lithographic performance of the photoresist. The dependence of the dissolution rate of phenolic polymer on the aqueous base concentration and molecular weight of resin can be analytically described by mathematical modeling considering the formation of gel layer, which is formed by the entry of aqueous base and deprotonation of some of the phenol group. The new polymer dissolution model is based on the suggested mechanism that the diffusion of base and deprotonation reaction of the phenolic group of polymer take place simultaneously through a gel layer. The fundamental equation, which is derived form the concept of gel layer, correctly fits experimental data for aqueous base concentration and molecular weight dependence of dissolution rate of phenolic polymer. In addition, the model can predict the experimentally critical minimum base concentration below which dissolution is no longer observed. As a result, the mathematical expression by this approach offers a fully quantitative and analytical understanding of the dissolution rate.
The positive photoresist is assumed to be transferred, via intermediate gel state, from the resist to the developer solution. A mechanism for the development of positive photoresist is proposed to derive a development rate equation considering gel layer formation. This new model using the concept of gel layer can better fit recent experimental dissolution rate data exhibiting a notch shape which is critical to resist performance. The model parameters are obtained by fitting measured dissolution data using the least square method. The variation of gel layer thickness during dissolution is well explained with the model.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.