The study of the selectivity of the plasma etching of functional materials with respect to the mask of a negative electron resist based on hydrogen-silsesquioxane (HSQ) has been carried out. The formation of nanostructures with sub-50 nm critical dimensions by the HSQ mask has been studied for a number of materials: single-crystal silicon, a metallic Ta layer, dielectric layers of SiO2, Al2O3, HfO2, Si3N4, as well as a porous low-k dielectric based on organosilicate glass (OSG) on silicon substrates. It has been found that HSQ resist masks can be used to manufacture prototypes of microand nanoelectronic devices with critical dimensions less than 10 nanometers using a large number of materials, including for creating structures with relatively high aspect ratios with an absolute thickness of layers of functional materials of tens of nanometers.
The modern IC process consists of a 13-layer metallization stack. Critical dimensions are 30-40 nm at the M0-
M2 metal layers and due to barrier resistance and electromigration reasons, copper is not the perfect choice
nowadays. There are two main alternatives to copper on M0-M2 layers: cobalt and ruthenium. Return to the
subtractive scheme could be a powerful solution for future interconnects although the dry etching process of
the metal is required for it. In this paper, different approaches to plasma etching of cobalt are studied. CO- and
halogen-containing plasmas were considered. It seems that etching in CO-based plasma is inefficient. The rate
was only 2 nm/min in a wide temperature range. The low-temperature (60°C) process of the cobalt etching in
BCl3/Ar plasma was developed. The etching rate for the process was 50 nm/min. All of the considered
processes are found to be aggressive toward the mask.
Porous OSG low-k dielectrics deposited by using TEOS and MTEOS mixture with different ratios and Brij® 30 surfactant. The deposited samples contain a different concentration of terminal methyl groups that is proportional to MTEOS concentration. An increase in the methyl groups concentration by changing TEOS/MTEOS ratio decreases the open porosity, k-value, and Young’s modulus and increases the mean pore radius although the template concentration was kept constant. Plasma damage by fluorine radicals depends on the carbon concentration in the films. It can be reduced by 60% when the carbon concentration in the film exceeds 10 atomic percent as measured by XPS (the films deposited with TEOS/MTEOS ratio 40/60).
This work shows the results of studies on the effect of annealing on the properties of nanocrystalline LiNbO3 films. Unannealed LiNbO3 films are characterized by the formation of triangular grains and large droplets on the film surface. It has been shown that annealing in an oxygen atmosphere leads to significantly reducing the surface roughness of the films (from 63 to 47 nm) and the density of droplets on the LiNbO3 film surface. It was established that annealing within 1 hour in oxygen atmosphere under temperature of 600°C allows increasing oxygen content in the film from 4.03 atm. % up to 11.02 atm. %. Using annealing made it possible to reduce the maximum value of absorption rate from 1.11 to 0.29. Obtained results can be used under development of energy converters and acousto-optic devices for use in electronics and medicine.
Basic properties of cyclic discrete etching process for Silicon dioxide were studied in conventional plasma etching tool. Process under consideration is based on consequent deposition of fluorocarbon polymer film from plasma of C4F8, and following activation of etching reaction between surface Silicon and Fluorine contained in the film by ion flux from plasma. Deposition rate of polymer film and its etching rate were measured by means of spectral ellipsometry at different wafer temperatures. Atomic layer etching process was demonstrated with usage of Oxygen and Argon plasma. Saturation of per cycle etching rate was achieved with respect to duration of deposition step.
The process of atomic layer etching (ALE) studied for aluminum oxide and hafnium oxide. The process based on cyclic looping of stages 1) BCl3 adsorption and 2) Ar plasma activation of reaction between adsorbed molecules and surface. The approaches to perform the process on conventional plasma etching tool is considered. The self-saturation of etching process is shown and synergy is calculated. That allows estimating of process window with respect to DC bias and BCl3 dose. The processes were performed at subzero temperatures of wafer (-20°C) which enhance adsorption of BCl3 on the sample, while the walls of reactor were kept at elevated temperature (+40°C) to reduce adsorption of chlorine-containing gases on walls. Such an approach, first used in this work, made it possible to increase the reproducibility of atomic layer etching processes, despite the fact that the work was performed in a conventional tool.
The fabrication of silicon nanostructures for microelectronic applications is of great interest. We employed two-stage technology of precise anizotropic plasma etching of silicon over e-beam resist and isotropic removal of thermally oxidised defected surface layer of silicon by wet etch to fabricate planar silicon nanowire arrays. Silicon nanowires with diameter of 10-30 nm were obtained. It is simple to get nanowires without oxide or covered with thermal SiO2. Conductivity of obtained silicon nanowire arrays before and after oxidation was measured. It was found that after oxidation and removal of oxide layer conductivity increases dramatically.
Present paper overviews existent and newly developed DSE technologies offering optimal choice for specific demands. Under consideration there are four types of deep silicon etching processes: cyclic original Bosch process and modified one, continuous cryoetch process, cyclic STiGer process, and new Ox-Etch process. All processes designed with fluorine chemistry of SF6 based plasma that provides fastest etch reaction with Silicon enhanced by ion bombardment from plasma. The differences are in approach used to suppress the isotropic etching of the structure sidewalls to achieve required anisotropy and of etch process.
Tunnel undoped multiple-gate nano-FET with Schottky source/drain barriers (MG SB TFET) is proposed. One of the advantages of such transistors is the absence of technological operations associated with doping, which include the processes of ion implantation and fast annealing at high temperature (above 1000°C), causing a harmful diffusion of impurity and metal atoms through the structure. In the proposed construction the additional gates produce an electrical doping instead of a physical one in a single-gate p-n or p-i-n TFET. The indispensable fluctuations of doping concentration result in density of state tails in the semiconductor gap and, therefore, lower subthreshold slope of the single-gate TFET than that given by idealized models. The MG SB TFET could combine both low-power and highperformance applications. The sign of voltage on additional gates can switch the type of conductivity from n- to p-type analogously to CMOS technology. The method of fabrication of MG SB TFET is based on a sequential deposition of metals and dielectrics into the trench in dielectric layer on the semiconductor wafer with the subsequent anisotropic plasma etching.
We discuss the barrier-injection transit-time (BARITT) diodes and transistors which could be realized by modern silicon nanotechnology. The main advantage of such kind structures is that they guarantee a negative conductivity at THz frequencies even for rather strong scattering existing in realistic structures. The negative conductivity is crucial for generation. Unfortunately, in a diode the influence of the drain voltage on the height of the potential barrier near source contact is weak. The same weak is the variation in injection current. When a floating gate is inserted in the structure, the gate voltage with respect to the drain contact strongly operates over the barrier height under it and, therefore, injection current. This results in higher efficiency of generation. The oscillating current could be transmitted from the floating gate and the drain contact to a wave-guide (or antenna). THz radiation can be detected with the help of the same structures as used for generation. The basis of detection lies in the rectifying properties of the structures under consideration.
The case of the diagonal symmetry of plasma inhomogeneities scanned at two-view emission tomography was investigated. Original method for 2D-tomographic reconstruction utilizing analytical description of local inhomogeneities of the spatial distribution of the density of neutral and charged plasma particles on the chamber cross section was improved.
Application variety and huge potential market of RF MEMS switches guarantee relentless research interest to the field. There are lots of different types of MEMS switches. Direct contact MEMS switches are simplifier for integration than capacitive MEMS switches. Lateral technology considerably simplifies the formation process. The objective of this research is to estimate characteristics of the simple direct-contact lateral MEMS switch and to understand the improvement directions.
The MEMS switches were fabricated on the SOI wafers by e-beam lithography, dry etching and wet HF-etching. E-beam lithography and dry etching were used to form the cantilever and electrodes on the buried oxide layer. The structure with two control electrodes was used. IV characteristics were measured by Keithley 4200-SCS. The distance between cantilever and control electrodes was 100 nm.
From the obtained IV characteristics it is clear that the devices switches at about 60 V. High control voltage could be explained by the large distance between cantilever and control electrode, and high rigidity of the cantilever.
Following simulation in COMSOL Multiphysics showed that the control voltage could be decreased to 20-30 V by adding of spring element to the cantilever and device geometry modification.
Low temperature etching of organosilicate low-k dielectrics in CF3Br and CF4 plasmas is studied. Chemical composition if pristine film and etched were measured by FTIR. Decrease in plasma-induced damage under low-temperature conditions is observed. It is shown that the plasma damage reduction is related to accumulation of reaction products. The reaction products could be removed by thermal bake. In the case of CF4 plasma, the thickness of CFx polymer increases with the temperature reduction. This polymer layer leads to strong decrease of diffusion rate of fluorine atoms and as a consequence to reduction of plasma-induced damage (PID). Bromine containing reaction products are less efficient for low-k surface protection against the plasma damage.
The results presented on Silicon one-dimensional structures fabrication which are promising for application in nanoelectronics, sensors, THz-applications. We employ two-stage technology of precise anizotropic plasma etching of silicon over e-beam resist and isotropic removal of thermally oxidised defected surface layer of silicon by wet etch. As first the process for nano-fins fabrication on SOI substrate was developed. HSQ resist was used as a negative-tone electron beam resist with good etch-resistance, high resolution and high mechanical stability. The etching was performed by RIE in mix of SF6 + C4F8. plasma. By changing the ratio SF6:C4F8, the sidewall profile angle can be controlled thoroughly. Next step to minimize lateral size of structures and reduce impact of surface defects on electron mobility in core of nanowires was the application of surface thermal oxidation to defected layer. It was used for selective removal of damaged silicon layer and polymer residues. Oxidation was performed with controlled flow of dry oxygen and water vapour. Oxidation rate was precisely controlled by ex-situ spectral ellipsometry on unpatterned chips As a result the arrays of planar sub-20 nm Silicon nanowires with length in the range 200 nm – 500 um were made.
A number of different hard X-ray optics elements such as refractive lenses, refractive bi-lenses and multilens interferometers, mirror interferometers can be made of Silicon. The optical performance of these elements depends on the quality of refracting and reflecting surfaces. Cryogenic deep anisotropic etching was proposed for fabrication of parabolic planar lenses and mirror interferometers. The investigation of sidewall roughness was done by AFM and by optical interferometry. Geometrical parameters of structures were measured by SEM. It was observed that roughness of inner sidewalls of etched structures does not exceed 3 nm/um (RMS) and deviation from vertical profile was within 30 nm along 20 um depth.
Low-dimensional transit-time structures for terahertz generation and detection are discussed. The negative conductivity at terahertz frequencies is crucial for generation. It may arise in an array of silicon nanowires (1D), as well as in a thin silicon layer (2D) in “silicon-on-insulator” wafer. Ballistic regime, scattering regime and alternating barrier injection regime (BARITT) are simulated. The latter allows a negative conductivity even for rather strong scattering.
Formation of ultra shallow p+-junctions in silicon by plasma immersion ion implantation were investigated. The effect of carbon and fluorine coimplantation were studied experimentally. Dependence of this effect from carbon concentration was studied, as well as positive role of multistep annealing for pure boron implanted samples.
Plasma immersion ion implantation into fins and trenches at elevated pressures is simulated. In the present work we calculate boron concentration distribution in the sample accounting for ion scattering in plasma sheath and geometric shadowing effects (ions at certain angle of incidence couldn’t achieve shadowed part of trench wall and bottom). First, energy and angle distribution of ions passed through the plasma sheath to the sample surface is obtained. These data are used to calculate boron concentration distribution in the sample. Pressure range is 30-300 mTorr, plasma electron temperature 5 eV, plasma density 1010-1012 cm-3. The degree of conformity increases with the pressure raises and decreases with the density of plasma.
The multiprobe Langmuir measurement on-wafer tool for microelectronics ICP reactors was developed. It allows measurements of electron temperature, ion concentration, plasma potential and floating potential directly on chuck. Homogeneity of He and Ar plasmas (electron temperature and negative ion concentration) were measured, and causes of different distribution patterns were discussed.
The purpose of the paper is to investigate the measurable variations in chemistry of SF6/O2/Ar plasma due to etching
through layers interface of structure poly-Si/SiO2/Si. The noticeable magnitude and sufficient stability in some single
parameters deference make it possible to develop application of Langmuir probe as implementation of a simple end-point-detection technique. The proposed method is based on the established idea that the surface reactions involved to
the process of etching lead to dramatic changes in some parameters of the charged plasma species during the process.
Particularly it was found that the densities of electrons and ions and the electron temperature are affected. It was shown
that effective electron temperature and electron energy distribution function of the reactive gaseous mixture differ greatly
from those of Ar plasma under the same excitation conditions. An approach to wafer-surface charging minimization by
varying excitation settings and EEDF was proposed.
We show that experimental data, obtained by picosecond biharmonic pumping technique for high-temperature
superconductive (Y-Ba-Cu-O) films, can be interpreted in terms of non-equilibrium electronic states with a 'frozen'
(meta-stable) energy gap in the electronic spectrum.
In the paper we will show that formation of a phase-conjugated wave in a self-pumped phase-conjugate mirror can be
considerably (6 - 20 times faster) accelerated by a special training procedure. Efficiency of some static and dynamic
training procedures will be compared and analyzed.
Dynamics of self-pumping photorefractive loop mirrors will be considered. We will show that depending on experimental conditions as phase-conjugation with efficiency up to 70-80% as formation of unstable oscillating structures of soliton-like filaments can be realized.
Dynamics of self-pumping photorefractive double phase-conjugate mirrors is considered. It is shown that depending on experimental conditions as phase-conjugation with efficiency up to 60-80 % as formation of unstable structures of soliton-like filaments can be realized.
In present paper the description of dynamic Langmuir probe technique is given and the results on plasma parameters obtained in ICP-discharge in pure CHF3 gas are discussed. It was proven that using of DLP-technique is the way to obtain relevant probe data in polymerizing plasmas. Side effects of the thermionic emission from probe tip were revealed. Although emissive probes can be used to simple measure of plasma space potential, the emission can cause sufficient distortion of I-V curve, measured values of electron temperature, and EEDF curve. The effect of emission was experimentally measured and corresponding work function of electrons from probe surface was estimated.
We show that both the spectral-temporal and temperature "anomalies" of experimental data, obtained by pump-probe spectroscopy of HTSC compounds, can be interpreted with taking into account inter-band electronic transitions and a "frozen" energy gap in the sample electronic spectrum.
We present the results of experiments on PIII application to form the ultra shallow highly doped junctions for ULSI CMOS technology. Experiments were carried out with plasma immersion implanter designed for 150 mm wafers. Two-step process includes the Si-surface pre-amorphizing implantation by Ar+ (Xe+) ions from plasma and subsequent boron doping of n-Si wafer from low pressure plasma of BF3 (ICP HDP-source) by flux of accelerated molecular ions without mass separation. Accelerating voltage of bias pulses is varied in the range of 0.7 - 4.5 kV. Implanted boron was activate by both RTA and it combination with furnace annealing technique. Under experimental conditions, the p-n junctions with depth of 40-70 nm were formed with sheet resistance of p+ layers in the range of 100 - 300 Ohm/square.
It will be shown that experimental data, obtained by pump-probe measurements in HTSC compounds, can be interpreted in terms of long-living meta-stable non-equilibrium states with specific energy gap and rather high (up to 600 K) electronic temperature.
A new laser technology, based on spatiallyl non-uniform illumination of ultra-thin ferromagnetic films by short trains of ultra-short laser pulses and enabling to create the regular domain structures, is presented.
Lateral distribution of particles density in the plasma across the wafer surface is critical for plasma processing steps in IC manufacturing and should be optimized at the stage of process design. Optical emission tomography of the plasma is promising technique for this goal. Presented investigation is carried out to develop the algorithms of tomographic reconstruction of 2D-distribution plasma species density from its characteristic optical emission data. The geometry of the tomographic data acquisition was chosen to be compatible with the commercial types of plasma reactors. Advanced accuracy of reconstruction has been achieved by including the classes of the space profiles of inhomogeneities based on the discharge physics into reconstruction algorithm. The tests have been performed with the kinds of inhomogeneities, which is most probable in plasma reactors with HDP sources.
A comparison of ICP and microwave plasma sources was carried out under the same discharge conditions, in the same discharge chamber and using the same diagnostics method. Investigations were fulfilled in a wide range of external discharge parameters (at pressures 0.5 - 20 mTorr and for powers deposited in the plasma 400 - 1500 W) in boron trifluoride and in argon discharges. A variety of plasma parameters (Te, ne, n+, EEDF) and their radial profiles at a 2 cm distance above a wafer holder were determined by using single Langmuir probe technique. Analysis of measurements has shown that the charged particles concentrations in ICP plasma are higher than are obtainable in microwave discharge, for deposited power 1.2kW the ICP source produced ion number density ~1012 cm-3. The required plasma uniformity can be maintained in ICP plasma over a more wide range of external discharge parameters then in microwave plasma. The use of microwave plasma source gives a bi-Maxwellian type EEDF, whereas the EEDF of ICP plasma is close to the single Maxwellian distribution with electron temperature higher then the temperature of cold electrons in microwave discharge. BF3 plasma is electronegative, with a degree of electronegativity ~0.3-0.5 for both plasma sources.
On the basis of experimental data of degenerate four-photon spectroscopy of Ni, Au and Pt ultra-thin (with the thickness approximately 10 divided by 20 nm) films, a conclusion about a predominant role of inter-band electronic transitions under formation of a nonlinear response of such films in the visible range has been made. It has been shown that, when a metal film thickness is smaller than the mean-free path, thermalization and cooling of optically excited electronic subsystem result from an ultra-fast inelastic scattering of excess free carriers on the film surface. Numerical estimations, performed for ultra-thin Ni films, have shown that, thanks to this scattering process, up to 10% of picosecond (duration approximately 20 ps) pump pulse energy can be transformed to the surface deformations. In case of spatially non-uniform optical excitation, an efficient direct generation of the surface acoustical waves can be realized.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.