Over the years, lithography engineers have continued to focus on CD control, overlay and process capability to meet node requirements for yield and device performance. The use of ArFi lithography for advanced process nodes demands challenging patterning budget improvements in the sub-nm range.1 In 3D NAND devices, the height differences between the cell and periphery create issues with the ability to adequately image and maintain a useable process window in both regions. Previous work by Fukuda2 developed a multi-exposure technique at multi-focus positions to image contact holes with adequate DOF. Lalovic3 demonstrated a fixed 2 wavelength technique to improve DOF called RELAX. ASML introduced EFESE Rx, a method of tilting the stage during exposure to create multiple focus positions and finally Lalovic4 introduced a broadband laser solution to provide additional DOF. All of these techniques suffered from a number of problems that limited usability.
In this work the authors will introduce a new method to increase DOF through alternating wavelength’s from an ArFi light source. This technique, called MFI (multi-focal imaging), can be tuned specifically to provide the required amount of wavelength separation for a specific DOF need.
Two focal positions are created that are averaged over the exposure field. The authors will review this wavelength “dithering” approach which can be turned on and off, thus eliminating any potential scanner calibration issues. Initial simulation studies with a fixed source and mask indicated increased DOF with wavelength separation. These DOF improvements have been confirmed with on-wafer single-exposure data. The Tachyon MFI aware engine flow will be reviewed using several customer use cases that have been analyzed to demonstrate maximum DOF and ILS vs wavelength separation. The authors will also review the optimization of new pupils and OPC solutions that are unique with each wavelength separation case and maximize process capability. The presentation will close with a product availability timeline and roadmap.
Use of ArFi lithography requires application-specific tuning to maximize patterning process windows. Previous investigations into the effects of light source bandwidth on imaging performance have provided the foundation for this work by identifying significant improvements in Exposure Latitude for reduced sensitivity to dose variations. This study will focus on the increase in image contrast that 200 fm light source E95 bandwidth enables on Self- Aligned Quadruple Patterning (SAQP) and Self-Aligned Double Patterning (SADP) core features. Focus of our investigation will be the understanding of roughness and profile variation through different exposure conditions.
The performance requirements of advanced semiconductor technology nodes necessitate the use of
complex processing methods that push patterning beyond the physical limits of DUV immersion
lithography (ArFi). Specifically, aggressive process window and yield specifications put tight requirements
on scanner imaging performance.
Accurate identification of process windows can be accomplished using KLA-Tencor’s fixed focus offset
conditions and Process window Discovery (PWD) methodology[1]. The PWD methodology makes use of a
modulated wafer layout to enable inspection comparing nominal to modulated conditions. KLA-Tencor’s
Broadband plasma (BBP) inspection technology is used to compare the nominal conditions to each
experimental condition and to identify systematic defects. The identification of systematic defects is
enabled by the PWD method by first discovering potential patterns of interest and then generating
NanopointTM care areas around every occurrence of the patterns of interest. This allows identification of
critical systematic structures that may have the same design intent but do not repeat in the same X,Y
locations within a device. This approach maximizes the inspection sensitivity on each structure type,
accurately identifies the edge of the process window in focus and dose, and enables study of the sensitivity
of fixes process offsets (such as light source bandwidth).
In this study, a tunable DUV light source bandwidth technique and the PWD methodology are used to
study the light source E95 bandwidth impact on Metal layer features from an imec 10 nm node logic-type
test vehicle.
DUV immersion lithography (ArFi) continues to be the primary lithographic method for semiconductor
manufacturers. Use of ArFi lithography requires patterning budget improvements in the range of 1/10 nm
especially for interconnect layers[1] ; for advanced process technology nodes, every Angstrom counts.
Previous investigations into the effects of light source bandwidth on imaging performance have provided
the foundation for this work[2-10]. This study will focus on the increase in image contrast that 200 fm light
source E95 bandwidth enables on Self-Aligned Double Patterning (SADP) and Self-Aligned Quadruple
Patterning (SAQP) features. The impact of 200 fm E95 bandwidth on the CD and Edge Placement Error
(EPE) performance of core (grating) and block features will be assessed using an imec 7 nm process node
test vehicle. The on wafer experimental results will be compared with the simulation predicted responses
of the target features to lower light source bandwidth.
Over the years, lithography engineers continue to focus on CD control, overlay and process capability to
meet current node requirements for yield and device performance. Use of ArFi lithography for advanced
process nodes demands challenging patterning budget improvements in the range of 1/10 nm especially
for interconnect layers.(1) Previous experimental and simulation based investigations into the effects of
light source bandwidth on imaging performance have provided the foundation for this work.(2-6) The
goal from the light source manufacturer is to further enable capability and reduce variation through a
number of parameters.(7-10)
In this study, the authors focus on the increase in image contrast that Source Mask Optimization (SMO)
and Optical Proximity Correction (OPC) models deliver when comparing 300 fm and 200 fm light source
E95% bandwidth. Using test constructs that follow current N7 / N5 ground rules and multiple pattern
deconstruction rules, improvements in exposure latitude (EL), critical dimension (CD) and mask error
enhancement factor (MEEF) performance are observed when SMO and OPC are optimized for 200 fm
light source bandwidth when compared with the standard 300 fm bandwidth. New SMO-OPC flows will
be proposed that users can follow to maximize process benefit. The predicted responses will be
compared with the experimental on wafer responses of 7 nm features to lower light source bandwidth.
Over the years, Lithography Engineers continue to focus on CD control, overlay and process capability to meet current node requirements for yield and device performance. Reducing or eliminating variability in any process will have significant impact, but the sources of variability in any lithography process are many. The goal from the light source manufacturer is to further enable capability and reduce variation through a number of parameters. (1, 2, 3, 4)
Recent improvements in bandwidth control have been realized in the XLR platform with Cymer’s DynaPulseTM control technology. This reduction in bandwidth variation translates in the further reduction of CD variation in device structures 5,6. The Authors will review the methodology for determining the impact that bandwidth variation has on CD dose, focus, pitch and bandwidth, which is required to build a dynamic model. This assists in understanding the impact that bandwidth variability has on the accuracy of the Source and Mask optimization and the overall OPC model, which is reviewed and demonstrated.
Patterning solutions based on ArF immersion lithography are the fundamental enablers of device scaling. In order to meet the challenges of industry technology roadmaps, tool makers in the DUV lithography area are continuously investigating all of the interactions between equipment parameters and patterning in order to identify potential margins of improvement. Cymer, a light source manufacturer, is fully involved and is playing a crucial role in these investigations. As demonstrated by recent studies[1], a significant improvement to multiple patterning solutions can be achieved by leveraging light source capabilities. In particular, bandwidth is a key knob that can be leveraged to improve patterning. While previous publications[1,2] assessed contrast loss induced by increased bandwidth, this work will expand the research in the opposite direction and will investigate how patterning can be affected by improved image contrast achieved through a reduction in bandwidth. The impact of lower bandwidth is assessed using experimental and simulation studies and provide persuasive results which suggest continued studies in this area.
As ArF immersion lithography continues to be extended by adopting multi-patterning techniques, imaging requirements continue to become more stringent [1-3]. For multiple patterning based logic devices, the optimal printability is not only driven by the optimization of the optical proximity correction (OPC), but also by complex process factors, such as resist, exposure tool, and mask-related error performance levels. In addition the light source plays a crucial role; it has been widely demonstrated [4-8] how changes in the E95 bandwidth can significantly lead to changes in on wafer patterning due image contrast changes. Cymer has developed novel computational and experimental approaches to enable process characterization studies [9-11]. Using these techniques, simulations were used to assess how E95 bandwidth changes can erode the CDU budget on ≤ 20 nm logic features. Using the results of these simulations, experimental conditions were defined to study the on wafer impact of light source performance on an imec N10 Logic-type test vehicle via six different Metal 1 Logic features. The imaging metrics used to track patterning response are process window (PW), line width roughness (LWR), and local critical dimension uniformity (LCDU).
Double-patterning ArF immersion lithography continues to advance the patterning resolution and overlay requirements and has enabled the continuation of semiconductor bit-scaling. Over the years Lithography Engineers continue to focus on CD control, overlay and process capability to meet current node requirements for yield and device performance. Reducing or eliminating variability in any process will have significant impact, but the sources of variability in any lithography process are many. The goal from the light source manufacturer is to further enable capability and reduce variation through a number of parameters.
Recent improvements in bandwidth control have been realized in the XLR platform with Cymer’s DynaPulseTM control technology. This reduction in bandwidth variation could translate in the further reduction of CD variation in device structures. The Authors will discuss the impact that these improvements in bandwidth control have on advanced lithography applications. This can translate to improved CD control and higher wafer yields. A simulation study investigates the impact of bandwidth on contrast sensitive device layers such as contacts and 1x metal layers. Furthermore, the Authors will discuss the impact on process window through pitch and the overlapping process window through pitch that has been investigated. These improvements will be further quantified by the analysis of statistical bandwidth variation and the impact on CD.
As DUV multi-patterning requirements continue to become more stringent, it is critical that all sources of lithography patterning variability are characterized and monitored. Advanced process characterization studies have been enabled using Cymer’s novel technique to modulate Beam Divergence and Polarization, and Energy, Bandwidth, or Wavelength light source performance. These techniques have been instrumental in helping identify process sensitivities that enable proactive light source monitoring and excursion detection using SmartPulseTM.
Demonstration of the benefits of these technologies is provided through results from recent experiments at imec. Changes in patterning performance are characterized using top down CD-SEM metrology, enabling excellent correlation between optical parameters and on wafer attributes for typical patterning geometries. In addition, new results show that changes in laser beam parameter performance can have measurable wafer patterning and/or illumination impacts. Chipmakers can benefit from the use of this capability to perform proactive, comprehensive characterization of current and next generation process nodes.
Lithography process window (PW) and CD uniformity (CDU) requirements are being challenged with scaling across all device types. Aggressive PW and yield specifications put tight requirements on scanner performance, especially on focus budgets resulting in complicated systems for focus control. In this study, an imec N10 Logic-type test vehicle was used to investigate the E95 bandwidth impact on six different Metal 1 Logic features. The imaging metrics that track the impact of light source E95 bandwidth on performance of hot spots are: process window (PW), line width roughness (LWR), and local critical dimension uniformity (LCDU).
In the first section of this study, the impact of increasing E95 bandwidth was investigated to observe the lithographic process control response of the specified logic features. In the second section, a preliminary assessment of the impact of lower E95 bandwidth was performed. The impact of lower E95 bandwidth on local intensity variability was monitored through the CDU of line end features and the LWR power spectral density (PSD) of line/space patterns. The investigation found that the imec N10 test vehicle (with OPC optimized for standard E95 bandwidth of300fm) features exposed at 200fm showed pattern specific responses, suggesting areas of potential interest for further investigation.
With the implementation of multi-patterning ArF-immersion for sub 20nm integrated circuits (IC), advances in equipment monitoring and control are needed to support on-wafer yield performance. These in-situ equipment monitoring improvements, along with advanced litho-cell corrections based on on-wafer measurements, enable meeting stringent overlay and CD control requirements for advanced lithography patterning. The importance of light-source performance on lithography pattering (CD and overlay) has been discussed in previous publications.[1-3] Recent developments of Cymer ArF light-source metrology and on-board monitoring enable end-users to detect, for each exposed wafer, changes in the near-field and far-field spatial profiles and polarization performance, [4-6] in addition to the key ‘optical’ scalar parameters, such as bandwidth, wavelength and energy. The major advantage of this capability is that the key performance metrics are sampled at rates matched to wafer performance, e.g. every exposure field across the wafer, which is critical for direct correlation with on-wafer performance for process control and excursion detection.
Reducing lithography pattern variability has become a critical enabler of ArF immersion scaling and is required to ensure consistent lithography process yield for sub-30nm device technologies. As DUV multi-patterning requirements continue to shrink, it is imperative that all sources of lithography variability are controlled throughout the product life-cycle, from technology development to high volume manufacturing. Recent developments of new ArF light-source metrology and monitoring capabilities have been introduced in order to improve lithography patterning control.[1] These technologies enable performance monitoring of new light-source properties, relating to illumination stability, and enable new reporting and analysis of in-line performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.