EUV (Extreme Ultraviolet) lithography is one of the most promising techniques for imaging 5-nm node and beyond wafer features. Mask defects that matter are the ones that print during exposure at 13.5 nm wavelength. To support EUV development and production schedules, mask defectivity must be reduced to be at or near the optical defect levels. This task is complicated by the fact that actinic EUV mask inspectors are not currently available. In the absence of an actinic EUV inspection tool, all available methods for detecting and characterizing defects must be deployed.
Based on extensive deployment and experience in the industry with optical masks, and on its record for reasonable throughput, 19x nm wavelength inspection is one of the strongest candidates available today, for the initial EUV mask inspection approach. However, there are several key challenges with 19x nm optical inspection of EUV masks. One such challenge is defect sensitivity. Another challenge is that EUV mask pattern image contrast changes as a function of pattern size and pitch. This is often referred to as “Tone Reversal”, and it is a phenomenon that occurs for specific features. It is essential to understand the impact of tone reversal on defect sensitivity and overall inspectability, specifically for image sizes and pitches at the point of tone reversal, and for those immediately on either side of the tone reversal.
In this study, the relationship between base pattern contrast and absorber defect sensitivity will be discussed through the analysis of programmed defect macros (PDMs). We will also discuss whether we can influence the point at which tone reversal occurs and furthermore, whether that reversal point can be tailored to specific patterns sizes or pitches. We will demonstrate how inspection parameter optimization can be done to tailor 19x inspection to specific layer and specific groundrules to maximize both sensitivity and inspectability.
EUV lithography is expected to be the most promising technology for semiconductor device manufacturing of the 7nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV light reflectance (<0.05%) have been proposed; such an image border is referred to as a black border (BB). In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border. However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD change was caused by DUV Out Of Band (OOB) light which is emitted from the EUV light source. In our previous study, a new types of multilayer etched BB called ‘Hybrid Black Border’ (HBB) had been developed and showed a good potential for DUV light suppression. OOB light reflection on HBB is ~3x lower than that of normal BB. Imaging performance was also demonstrated on NXE:3300 scanner system for N10 imaging structures of 16nm dense lines and 20nm isolated spaces. These results were compared to the imaging results obtained for a mask with the normal BB and 3x improvement was achieved; less than 0.2 nm CD changes were observed in the corners of the die. However, OOB light reflectance suppression was still not enough in short wavelength. In this study, we focused on OOB light reflectance reduction in short wavelength, and we developed a new HBB called ‘Advanced HBB’. We measured the OOB light reflectance of Advanced HBB by synchrotron radiation facility at PTB (Physikalisch- Technische Bundesanstalt, Germany). These results were compared to the results obtained from previous HBB. Then Advanced HBB achieved over 50% OOB light reflectance improvement in average wavelength 100nm to 270nm. Imaging performance also simulated in the edges and corners of the die. The CD-drop is expected to be more improved for Advanced HBB than previous HBB. As a result, it is expected the implementation of the Advanced HBB will help to mitigate the effects of possible increases of OOB light in the future higher power EUV sources.
19x nm defect inspection is the strongest candidate for initial EUV production until high-throughput E-Beam or Actinic inspection is ready. However, EUV mask inspection on an optical, 19x nm wavelength tool has some difficulties compared to optical masks. The issue of varying base pattern contrast is an example of one such difficulty. This paper explores the defect sensitivity differences among the base pattern sizes, as well as the relationship between base pattern contrast and defect sensitivity. Focus offset and polarization adjustments on programmed defect test masks are used to create new inspection recipes.
EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and
beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between
the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When
printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring
dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic
EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been
proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was
developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border
(BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It
was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a
multilayer etched BB were evaluated and showed a good potential for DUV light suppression.
In this study, a novel BB called ‘Hybrid Black Border’ (HBB) has been developed to eliminate EUV and DUV OOB
light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB
is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern,
defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on
NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the
earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the
corners of the die. A CD uniformity budget including impact of OOB light in the die edge area is evaluated which shows
that the OOB impact from HBB becomes comparable with other CDU contributors in this area. Finally, we state that
HBB is a promising technology allowing for CD control at die edges.
EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.
Five EUV film stacks were prepared and evaluated from the multiple viewpoints of mask repair process: etching property, CD control and wafer print. Etching property results revealed a thicker lower reflective (LR) layer stack showed good performance. Some types of defects were repaired and a CD comparison done with both CD-SEM and EUV microscope. It was found thinner total film stack (LR plus absorber) performs better than thicker ones for CD control. In addition, thicker LR performed better than thinner LR. Wafer print performance on the repaired site was evaluated through focus by imaging on an EUV microscope. Wafer printability performance showed that thinner total film stack performed better than a thicker one. Finally the best stack for EUV mask repair performance was determined to be a thinner total film stack and thicker a LR from all the various points of view.
Four EUV film stacks are prepared and evaluated from multiple points of view: mask fabrication, blank inspection, nonactinic
inspection, actinic inspection and wafer print. Mask linearity measurements show very good results for all of four
blanks. Blank inspection results reveal similar inspectability. Blank roughness and reflectivity at 193nm wavelength
were also measured. Some types of defects were evaluated with both non-actinic inspection tools and simulations. It was
found that the thinner low reflectivity (LR) stack shows higher defect sensitivity than the thicker ones for pattern defects
at 193nm inspection wavelengths. Phase defect evaluations indicate that thinner total film stacks (LR plus absorber) have
an advantage for phase defect detection. Defect printability was evaluated through focus by imaging on an EUV
microscope and defect printability was shown to be equivalent among the four stacks. Then the appropriate film stacks
are discussed from the wafer point of view. Finally the appropriate stack was chosen based on evaluations from all the
various points of view.
EUV wavelength inspection tools are several years away from product release. Until then, the EUV
Lithography (EUVL) community faces the challenge of inspecting EUV masks at non-actinic wavelengths.
It is critical to understand how to improve mask inspectability and defect sensitivity. The absorber stack is
one contributor, since changing the film stack modifies image contrast. To study the effect, masks were fabricated from three different film stacks on which the thickness of the low reflective and absorber layers
vary. These three absorbers are identified in this paper as Type A, Type B and Type C. All blanks had the
same Ru-capped multi-layer substrate beneath the absorber stack. Inspection contrast, defect sensitivity and
inspectability were measured on a 193nm wavelength inspection tool. The focus of this paper will be on inspection at the 193nm wavelength; however, simulated wafer results at
the 13.5 nm EUV exposure wavelength will be included to anchor the relevance of the mask inspection results. A comparison of the different absorber stacks, the ability to detect defects on the various masks,
and how defects on these substrates prints on wafer will be provided. This work addresses the gap between EUVL mask inspection and wafer defect printability and how the two views differ relative to various absorber stacks.
Extreme Ultraviolet Lithography (EUVL) is the leading candidate for next generation lithography. EUVL has good
resolution because of the shorter wavelength (13.5nm). EUVL also requires a new and complicating mask structure. The
blank complexity and substrate polishing requirements result in defects that are difficult to eliminate or repair. Due to
these challenges, shifting the pattern so that absorber covers the multilayer defects is one option for mitigating the
multilayer defect problem. We investigated the capability and effectiveness of pattern shifting using authentic layouts.
The rough indication of, “how many of what size defects are allowable”, is shown in this paper based on the margin for
the 11nm HP pattern. Only the twenty 300nm-sized defects are allowable for current location accuracy of the blank
inspection and writing tools. On the other hand, sixty70nm-sized defects are allowable for the improved location
inaccuracy. Furthermore we exercised the full process for pattern shift using a leading-edge 50 keV e-beam writer to
confirm feasibility and it was successfully performed.
The line-edge roughness (LER) of a photomask image has a measurable impact on the corresponding printed wafer LER. This impact increases as wafer exposures move from 193nm DUV to 13.5nm EUV wavelengths since the imaging tool is a low-pass filter with EUV passing more spatial frequencies. Even the high frequency mask LER may impact the wafer image by lowering its image log-slope (ILS). Studying the magnitude and frequency content of mask LER is a first step to reducing the wafer LER. The next step is to determine which components of mask line roughness actually contribute to the wafer line roughness. Order is imposed on this study by fabricating programmed LER patterns on an EUV mask to introduce controlled variations in LER spatial frequency and magnitude. More specifically, line-width roughness (LWR), LER and power spectral density (PSD) are extracted from 64nm and 90nm (1X) pitch lines on a programmed LER EUV photomask. The same mask is then exposed on the ASML EUV Alpha Demo Tool (ADT) at best focus and dose. Three chemically amplified EUV photoresists are evaluated using the programmed LER photomask through PSD and LWR comparisons and the highest performance resist is used for a comprehensive LER transfer analysis. Wafer LWR is extracted from 64nm and 90nm pitch lines and correlated back to the base mask patterns revealing an empirical LWR transfer function (LTF). Finally, the study is extended to 45nm (1X) pitch lines by deploying a pupil filter on the ADT to explore the effect on LWR as the feature sizes shrink.
Extreme ultraviolet (EUV) mask fabrication faces many unique challenges, including more stringent line edge roughness
(LER) requirements. EUV mask absorber LER will need to be reduced to reliably meet the 2013 International Roadmap
for Semiconductors line width roughness target of 3.3 nm. This paper will focus on evaluating resists modified and
deployed specifically to reduce LER on EUV masks. Masks will be built, and the final mask absorber LER reported
considering multiple imaging and analysis techniques. An assessment of best methods for mask LER analysis will be
provided and used to judge resist performance.
The development of semiconductor process for 32nm node is in progress. Immersion lithography has been introduced as
an extension of 193nm lithograpy. In addition, DPL (Double patterning lithography) is becoming a strong candidate of
next generation lithography. The extension of optical lithography increases more mask complexity and tighter
specification of photomasks.
CD performance is the most important issue in the advanced photomask technology. However, it is expected that
conventional mask cannot satisfy the required mask specifications for 32nm node and beyond. Most of CD errors are
contributed to the dry etching process. Mask CD variation is greatly influenced by the loading effect from dry etching of
the absorber.
As the required accuracy of the mask arises, Cr absorber thickness has been gradually thinner. CD linearity with the
thinner Cr absorber thickness has better performance. However, it is difficult to apply thinner Cr absorber thickness
simply under the condition of OD > 3, which is needed for wafer printing. So, we adopted MoSi absorber instead of
conventional Cr absorber, because MoSi absorber has less micro and global loading effect than that of Cr absorber. By
using MoSi absorber, we can reduce Cr thickness as a hardmask. The thinner Cr hardmask allows for reduce resist
thickness and become same condition for conventional EB resist lithography.
The lithography performances were confirmed by the simulation and wafer printing. The new MoSi absorber mask
behaves similar to the conventional Cr absorber mask.
The adoption of super thin Cr as a hardmask made it possible to reduce resist thickness. By the application of the thin
resist and the latest tools, we'll improve the mask performance to meet the 32 nm generation specification.
The attenuated phase-shift mask (att. PSM) is one of resolution enhancement technologies (RET) and has been
widely adopted for several device layers. And the high-transmission att. PSM, which has various structures and
transmittances, can be expected to have the advantages in process window. In this paper, the lithographic performances
(Contrast, MEEF and DOF) of high-T att. PSM were evaluated by using the 3D electro-magnetic field simulator. The
results showed that high-T att. PSM has better MEEF and partially better DOF than those of 6%-transmission MoSi
type. As the transmittance is getting higher, the smaller line CD is needed for OPC adjustment especially at narrow
pitch. In respect of film structure, it is found that there is no large difference among three high-T att. PSMs except for
MEEF at specific pitch. Remaining chrome on the high-T films causes the trade-off between contrast and MEEF. The
simulation results are compared with AIMS results measured by AIMSTM 45-193i of Carl Zeiss. The AIMS results of
actual masks agree with no-Hopkins mode simulation very well, while they do not agree with Hopkins mode simulation
especially at narrow pitch. Because the azimuthal polarization does not cause contrast loss, the differences between
AIMS mode (conventional) and Scanner mode (vector effect emulation) are small.
Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of
logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good
performance due to the high image contrast and the small mask error enhancement factor (MEEF).
For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this
issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production
application.
The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The
etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide
range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even
with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different
depending on the pitch.
In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based
on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging
impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the
feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer
printing, AIMS, and simulation.
The immersion lithography for 45 nm generation has been developing aggressively for smaller critical dimension of semiconductor devices. The polarization lithography system is indispensable to have an advantage to use the immersion lithography with hyper NA (>1.0). As pattern size becomes smaller, mask induced polarization effects to polarization of exposure image seems not to be negligible. There are several issues about mask induced polarization. But dominant factor for mask induced polarization effect is not understood well.
In this paper, in case of monolayer mask of att.PSM, degree of polarization (DoP) strongly depends on film thickness and extinction coefficient from simulation and experimental results. DoP depends on material factor. And in case of double layer mask, DoP depends on total film thickness and extinction coefficient of both upper layer and bottom layer. So, DoP depends also on structure of mask.
Chromeless Phase Lithography (CPL) is one of resolution enhancement technologies (RET) for 65nm node and beyond. CPL has various advantages such as no necessity of double exposure, and small pattern displacement and CD error caused by the intensity imbalance. The high resolution lithography can be expected with the combination of high NA and off-axis illumination (OAI) in 193nm lithography. It is known that CPL can flexibly change structure through gate pitch. There are various kinds of structure, such as pure CPL, Zebra, Rim, and Stripe. And there are also various kinds of scattering bar depending on the gate pitch. In this paper, we estimated normalized image log-slope (NILS), mask error enhancement factor (MEEF), depth of focus (DOF) and phase shift depth for each CPL structure by rigorous 3D mask electro-magnetic field (EMF) simulation on mask topographies. And it was found that Zebra and Stripe can improve NILS, and Stripe is most effective to improve MEEF for narrow pitch. There is no large difference in DOF between all structures, and DOF for all structures with wide pitch can be expanded by the addition of chrome scattering bar. We evaluated the impact of phase shift depth and found that the optimal phase shift depths of all structures are larger than 180degrees. The improvement of mask-making accuracy becomes more important to achieve better mask pattern resolution. Therefore, we focused on the defects of the sub-resolution chrome feature and chrome scattering bar. It was found that the defects of sub-resolution chrome feature have big influences on the lithography performance. And the defects of scattering bars become more sensitive with closer to the main feature.
The photomask industry is constantly reaching towards next-generation technology that can advance today's semi-conductor applications. One of the most successful and widely used techniques for advancing the current lithography capability and meeting many of the next-generation requirements is through the use of phase-shifting photomasks (PSM). Resolution enhancements techniques implemented through the use of PSMs can be a powerful tool in meeting both today's and tomorrow's demanding lithographic requirements.
For this work, effects of changing etch process parameters on the quartz dry-etching process performance is investigated. Considerations are given to phase depth uniformity, sidewall profile and reactive ion etch lag in the analysis of the quartz etch performance.
The second level exposure of Alternating Phase Shift Mask has to be accomplished by e-beam lithography. However, e-beam writing on structured open chrome layers may induce severe charging and yields to deteriorate overlay accuracy. In case of charging problems, applying of conductive top coats on resists help to reduce the distortion of the second layer.
ESPACER makes the conductive layer on the e-beam resist and prevent a positioning error during e-beam writing by its shield effect. However, ESPACER required removal of its topcoat before post-exposure bake (PEB) because of the undesirable effect to the resist, due to the acid diffusion from the ESPACER film to high sensitive Chemical Amplified Resists (CARs).
Our investigations were focused on the combination of the representative positive tone CAR: FUJIFILM ELECTRONIC MATERIALS CO., LTD and the new-type ESPACER called ESPACER 300F. ESPACER 300F was possible to use the PEB process before its removal, improved by exchanging a lower mobility surfactant than before. This material also had a good wettability, a low resist-thickness losses and kept good pattern shapes of the resist. Additionally, ESPACER 300F have little influence in both overlay accuracy at the variety of pattern density and sensitivity of the resist, therefore it would be the good material for making reticles for 65nm node and beyond.
The phase shift mask (PSM) is one of the most effective approaches to improve ArF lithography performance. Recently, the quartz dry etching technology plays an important role to fabricate the PSM, such as space bias type Alternating (Alt.) PSM and chrome-less phase lithography (CPL) mask. The quartz etching profiles seems to be affected the lithography performance. In this paper, preliminary, we evaluate the nominal influences of quartz profile by rigorous electromagnetic field simulation. Then influence of the quartz profile is investigated by measuring the real masks. In this experiment, we intentionally fabricate Alt. PSM and CPL masks with the tapered side-wall and deeper micro-trench. Lithography performances of the real masks are measured by the aerial image measurement system (AIMS fab193). We compare the result of AIMS with simulation. We investigate the AIMS measurement well corresponds to the simulation. Side-wall angle and corner rounding strongly affect the lithography performance. However, micro-trench doesn’t affect a lot.
The alternating phase-shift mask (alt. PSM) is one of the most effective approaches to improve a resolution of the 65nm logic gate structure in ArF lithography. Previously we have studied the optimization of alt. PSM in 180nm gate-pitch. In this study, we evaluated various alt. PSM in the case of 160nm gate-pitch. Using a rigorous electro-magnetic field simulation of light scattering in 3D mask topographies, we evaluated CD difference between π-phase and 0-phase space size (the π-0 CD difference), resist CD through pitch and normalized image log-slope (NILS). The parameters for our simulation were mask structure (shallow trench depth (ST), undercut size (UC), space bias, Chrome (Cr) CD, pitch, phase shift depth) and ArF exposure condition (NA, sigma, defocus). From the results of simulation, it turned out that single trench structures with UC and/or space bias showed the good intensity balance through defocus. We compared the simulation results with the AIMS fab193 (Carl Zeiss) results and found there was no large difference. The combination of UC and space bias could be chosen as suitable structure for 160nm gate-pitch.
To extend 193nm lithography to 65nm node devices, alternating phase shift mask structure were optimized. Both single trench and dual trench structure was evaluated. The optimization was performed by rigorous electro-magnetic field simulation of light scattering in 3D mask topographies. Evaluation masks were fabricated according to the simulation results, and the mask image was evaluated by using AIMS fab193 (Carl Zeiss). Prior to the optimization, limitation of shallow trench depth and undercut size was considered from the standpoint of “mask making”. Maximum undercut size was defined in order to prevent the Cr pattern peeling in cleaning process. In the optimized structure, CD difference between adjacent patterns with 0-space and π-space is within ±10nm wiht 300nm focus margin for different pattern pitches.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.