Extreme Ultraviolet Lithography (EUVL) is a promising technology for the next generation lithography. It will be
applied for half pitch 16nm node and beyond. The pattern resolution of recent EUV lithography is around hp20nm and
next target is hp16nm. Although present requirement for EUV mask pattern resolution is hp64 nm, there is a special
request to make under 40nm size pattern for EUV mask. One of examples is programmed phase defect mask (PDM).
Phase defect of EUV blank affects large influence to wafer print result. Blank inspection is one of the key technology
for EUV mask fabrication. To evaluate blank inspection tool, program defect mask is essential. Other examples are
EUV mask for EUV diffraction exposure tool and EUV microscope evaluation. These masks need absorber pattern
resolution of 30nm and smaller. In this paper, we will present process development results targeting 30nm size pattern.
Programmed defect size achieved to 20nm width (FWHM: width at half maximum), 1.0nm height, both pit and bump
defect. Absorber pattern resolution achieved 30nm half pitch. Not only simple dense line pattern, we fabricated radial
pattern and any angle pattern.
Fabrication of defect free EUV masks including their inspection is the most critical challenge for implementing EUV
lithography into semiconductor high volume manufacturing (HVM) beyond 22nm half-pitch (HP) node. The contact to
bit-line (CB) layers of NAND flash devices are the most likely the first lithography layers that EUV will be employed for
manufacturing due to the aggressive scaling and the difficulty for making the pattern with the current ArF lithography.
To assure the defect free EUV mask, we have evaluated electron beam inspection (EBI) system eXplore™ 5200
developed by Hermes Microvision, Inc. (HMI) [1]. As one knows, the main issue of EBI system is the low throughput.
To solve this challenge, a function called Lightning Scan™ mode has been recently developed and installed in the system,
which allows the system to only inspect the pattern areas while ignoring blanket areas, thus dramatically reduced the
overhead time and enable us to inspect CB layers of NAND Flash device with much higher throughput.
In this present work, we compared the Lightning scan mode with Normal scan mode on sensitivity and throughput. We
found out the Lightning scan mode can improve throughput by a factor of 10 without any sacrifices of sensitivity.
Furthermore, using the Lightning scan mode, we demonstrated the possibility to fabricate the defect free EUV masks
with moderate inspection time.
Semiconductor lithography candidates toward 2xnm node and beyond include wide variety of options, such as
extension of 193i, EUVL, NIL, and ML2. Most of those candidates, except ML2, need critical mask feature to realize
effective high volume manufacturing. In this presentation, EUVL mask technology update and future issues will be
presented.
Fabrication of defect free EUV mask is one of the most critical roadblocks for implementing EUV lithography into
semiconductor high volume manufacturing for 22nm half-pitch (HP) node and beyond. At the same time, development
of quality assurance process for the defect free EUV mask is also another critical challenge we need to address before the
mass production. Inspection tools act important role in quality assurance process to ensure the defect free EUV mask. We
are currently evaluating two types of inspection system: optical inspection (OPI) system and electron beam inspection
(EBI) system [1, 2]. While OPI system is sophisticated technology and has an advantage in throughput, EBI system is
superior in sensitivity and extendability to even small pattern.
We evaluated sensitivity of EBI system and found it could detect 25 nm defects on 88nm L/S pattern which is as small
as target defect size for 23 nm Flash HP pattern in 2013 in 2009 ITRS lithography roadmap [2, 3]. EBI system is
effective inspection tool even at this moment to detect such small defects on 88nm HP pattern, though there are still
some challenges such as the slow throughput and the reliability. Therefore, EBI system can be used as bridge tool to
compensate insufficient sensitivity of current inspection tools and improve EUV mask fabrication process to achieve the
defect free EUV mask. In this paper, we will present the results of native pattern defects founded on large field 88nm HP
pattern using advance EBI system. We will also classify those defects and propose some ideas to mitigate them and
realize the defect free EUV mask, demonstrating the capability of EBI as bridge tool.
Achieving the specifications of resolution, sensitivity and line width roughness (LWR) of wafer resist is one of the top
challenges of bringing extreme ultraviolet lithography (EUVL) into high volume manufacturing. Contributions to the
resist LWR on wafer can be divided into two categories; chemical properties of the resist and aerial image. Chemical
properties of the resist are complicated and many factors contribute to LWR, such as polymer size, sensitivity, surface
reaction etc. Aerial image LWR is much simply determined by the optical properties of a mask and a scanner. Since
very small LWR value of the resist is needed, EUV mask LWR is also set very severely from ITRS [1].
In our previous work [2], we demonstrated current mask LWR as comparing them with mask resist LWR and absorber
LWR. As a result, we found that the absorber's LWR almost depends on resist patterning.
In this paper, we will present the influence of resist patterning on absorber LWR comparing resist materials and EB
tools. From the results, LWR has been reduced by 10-20% by improving EB tool. However, the LWR value at line and
space pattern for 22nm-hp case have not met target of ITRS' roadmap while, by using Non-CAR, the LWR value has
met the target. In particularly, the value at isolated line is dramatically improved using Non-CAR.
At the Photomask Japan 2010, we reported on the cleaning process durability and the EUV light shielding capability of
FIB- and EB-CVD film based on carbon, tungsten and silicon containing precursors. The results were that the tungsten
based FIB-CVD film showed no loss of film thickness after dry cleaning process, and the calculation showed that 56nm
thick was sufficient for repairing clear defects on EUV mask with 51nm thick of absorber layer. On the other hand,
carbon based FIB-CVD film suffered considerable loss in its film thickness and needed more than 180nm thick even if
the 10nm thick of buffer layer between the CVD films and the capping layer supported the EUV light shield.
In this paper, we will report on a newly developed repair method of clear defects on EUV mask using an FIB technique.
The clear defects were repaired by removing or damaging the reflective ML (multi layer) underlying the clear defect area
instead of applying the conventional FIB-CVD (Focused Ion Beam-Chemical Vapor Deposition) films. After removing
the ML, the cross sectional pattern angle was approximately 83 degree and the sidewalls were covered with 15nm thick
of Si and Mo mixing layer caused by Ga ions exposure. The performance of defect repair was evaluated by SFET (Small
Field Exposure Tool) printability test. The exposure results showed that the ML etched area behaved as low reflection
area and the printed CDs were proportional to the mask opening CDs. The study also revealed that the ML etched pattern
was not sensitive to 50nm of focus error.
Electron backscattering from Extreme Ultraviolet (EUV) masks during Electron Beam (EB) exposure was studied by
simulations and experiments. The film structure of EUV masks is quite different from that of photomasks. The Mo/Si
multilayer on the EUV substrate is very thick (280 nm) and heavy metal material such as Ta is used for the absorber.
Monte Carlo simulations suggest that the absorbed energy inside the resist caused by the backscattered electrons from
these films is non-negligible, about 1/10 of the forward scattering electrons and 1/4 of the backscattered electrons from
the substrate. Also the simulations show that the influence range is very short because the backscattering happens near
the mask surface. These simulations were verified by conducting EB exposure experiments. Short-range proximity
effect was clearly observed by measuring the resist Critical Dimentions (CDs) of short bars laid beside the large exposed
area. The data were fitted by assuming a backscattering electron distribution which has an exponential form with 0.4 μm
range. The range is very short compared with the conventional proximity range of 10 μm. We conclude that the
conventional EB proximity effect correction method needs to be revisited for EUV masks.
Achieving the specifications of line width roughness (LWR), sensitivity and resolution of wafer resist is one of the top
challenges of bringing extreme ultraviolet lithography (EUVL) into high volume manufacturing. At the same time,
EUV mask LWR is set on very ambitious target value from ITRS [1] because mask LWR would contribute to wafer
resist LWR more strongly than that of ArF lithography due to dramatic decrease of wavelength.
Mutual relation between mask and wafer resist LWR has been discussed [2] [3] but not frequently, so standardization of
mask LWR measurement is not fixed. SEM image analysis is common to measure mask LWR but the value depends on
measurement parameters such as segment length of pattern edge.
In this paper, optimum measurement conditions with SEM will be investigated and discussed using SEM images of
actual mask and aerial simulation. And also we will report development status of actual mask LWR.
Readiness of defect-free mask is one of the biggest challenges to insert extreme ultraviolet (EUV) lithography into
semiconductor high volume manufacturing for 22nm half pitch (HP) node and beyond. According to ITRS roadmap
updated in 2008, minimum size of defect needed to be removed is 25nm for 22nm HP node in 2013 [1]. It is necessary,
therefore, to develop EUV mask pattern inspection tool being capable of detecting 25nm defect. Electron beam
inspection (EBI) is one of promising tools which will be able to meet such a tight defect requirement.
In this paper, we evaluated defect detection sensitivity of electron beam inspection (EBI) system developed by
Hermes Microvision, Inc. (HMI) using 88nm half-pitch (HP) line-and-space (L/S) pattern and 128nm HP contact-hole
(C/H) pattern EUV mask. We found the EBI system can detect 25nm defects. We, furthermore, fabricated 4 types of
EUV mask structures: 1) w/ anti-reflective (AR) layer and w/ buffer layer, 2) w/ AR layer and w/o buffer layer, 3) w/o
AR layer and w/ buffer layer, 4) w/o AR layer and w/o buffer layer. And the sensitivity and inspectability for the EBI
were compared. It was observed that w/o AR layer structure introduce higher image contrast and lead to better
inspectability, although there is no significant different in sensitivity.
Nano-imprint lithography (NIL) is eminently suitable for low cost patterning for nanostructures. As feature
sizes of the UV-NIL templates are the same as the wafer patterns, there are enormous challenges such as writing and
inspecting smaller patterns for NIL template fabrication. In our previous works, we achieved less than 16nm resolution
with a 100keV spot beam writer and non CAR. We also reported optimization of metrology for NIL templates and the
characterization of anti-sticking layers with scanning probe microscopies.
Normally the template is made from a 6025 photomask blank. After the blank undergoes a process similar to
the photomask process, it is diced into 65 mm x 65 mm size and four pieces, and then each piece is polished into its final
shape. Therefore it becomes difficult to inspect and clean them, because 65 mm substrates are unfamiliar in photomask
industry. In order to reach the step for mass-production of the templates, the development of "back-end process", which
includes not only cleaning and inspection but also repair, dicing, polishing, and coating anti-sticking layers, is essential.
Especially keeping low contamination level during dicing and polishing processes is one of the critical issues.
In this paper, we report our development status of "back-end process" for NIL templates. Especially, we focus
on the techniques of reducing adder defects during dicing process and improving cleaning capability.
In 45nm node and beyond, since mask topography effect is not ignorable, 3D simulation is required for precise printing performance evaluation and mask CD bias optimization. Therefore, the difference between real mask and 3D mask model on simulation needs to be clarified. Verification of 3D mask model by diffraction intensity measurement with AIMSTM45-193i was discussed in our previous works. In various conditions (mask materials, pattern dimensions and CD-SEMs), the diffraction intensity measured on actual masks were agreed to 3D simulations by introducing constant CD offset. The cause of the CD difference was explained to be mainly due to electron beam size by using simple SEM image simulation.
In this work, we introduce the new procedure to measure diffraction intensity by AIMSTM in order to confirm the CD difference between 3D mask model and CD-SEM more accurately because the agreement of diffraction intensity between AIMSTM and simulation was not perfect especially for 1st order's diffraction. As a result, the value of CD difference was slightly changed on the same mask by using the same CD-SEM. Measured diffraction intensity showed better matching to 3D simulation results with the constant CD offset on all evaluated conditions. Secondary, to confirm how accurately printing performance could be predicted by CD-SEM measurement results, MEEF difference calculated from diffraction intensity between 3D simulation and CD-SEM with the offset was confirmed. Additionally, this method was extended to hole patterns. Measured diffraction intensity was matched to simulation result with the same CD offset with line/space patterns and appropriate corner rounding.
KEYWORDS: Photomasks, 3D modeling, Diffraction, 3D metrology, Lithography, Scanning electron microscopy, Critical dimension metrology, Printing, 3D printing, Image analysis
In 45nm node and beyond with hyper NA lithography, mask topography effect is not ignorable and mask CD bias
impacts printing performance such as MEEF or exposure latitude. In that situation, 3D simulation is required for precise
evaluation of printing performance and the accuracy of 3D mask model on simulation is a key issue. Verification of 3D
mask model by diffraction intensity measurement with AIMSTM45-193i was discussed in our previous works. Through
the verification, though real mask successfully creates effective or simulated diffractions, CD on 3D mask model on
simulation was different to that on AIMSTM result which was measured by CD-SEM. Therefore, purpose of this work is
to analyze the cause of CD differences through AIMSTM diffraction intensity evaluation in various conditions (mask
material, pattern pitch, mask CD bias and mask CD-SEM system). Furthermore, lithography equivalent CD is proposed
as width of "ideal" mask shape.
As a result achieved from the experiments, constant CD shift was successfully observed at hp40-70nm L/S pattern with
varied bias for both 6% EAPSM and Binary masks. It can be said that mask topography difference related to mask
material and pattern dimensions has not been observed. On the other hand, the value of CD shift was smaller on the
condition of newer generation CD-SEM measurement.
Other result achieved from further discussion and analysis, cause of the CD difference was explained using simple SEM
image simulation. The CD difference was mainly changed by electron beam size factor, and it was stable with side wall
angle in the range of 80 to 90 degree if the middle CD, which is the width of 3D model defined at the half height of the
mask film's thickness, is constant. Since side wall angles on actual masks are nearly 90 degree, lithography equivalent
CD could be measured by CD-SEM with constant offset.
Double patterning technology (DPT) is one of the most practical candidate technologies for 45nm half-pitch or beyond
while conventional single exposure (SE) is still dominant with hyper NA avoiding DPT difficulties such as split-conflict
or overlay issue. However small target dimension with hyper NA and strong illumination causes OPC difficulty and
small latitude of lithography and photomask fabricated with much tight specification are required for SE. Then there
must be double patterning (DP) approach even for SE available resolution.
In this paper DP for SE available resolution is evaluated on lithography performance, pattern decomposition, photomask
fabrication and inspection load.
DP includes pattern pitch doubled of SE, then lithography condition such as mask error enhancement factor (MEEF) is
less impacted and the lower MEEF means less tight specification for photomask fabrication.
By using Synopsys DPT software, there are no software-induced conflicts and stitching is treated to be less impact. And
also this software detects split-conflicts such as triangle or square placement from contact spacing.
For estimating photomask inspection load, programmed defect pattern and circuit pattern on binary mask are prepared.
Smaller MEEF leads less impact to defect printing which is confirmed with AIMS evaluation. As an inspection result,
there are few differences of defect sensitivity for only dense features and also few differences of false defect counts
between SE and DP with less NA. But if higher NA used, DP's inspection sensitivity is able to be lowered Then
inspection load for DP would be lighter than SE.
DPL (Double Patterning Lithography) has been in public as one of candidates for 45nm or 32nm HP since
ITRS2006update disclosed. A lot of report of the performances and issues regarding to DPL were published.
The current main concerns are evaluation of the infrastructures such as decomposition software, advanced
photomasks, higher-NA exposure tool and leading-edge hard-mask process. If there is simpler procedure to
evaluate DPL using a conventional environment without hard-mask process, the development of DPL will be
accelerated. Here, the simple evaluation procedure for DPL using actual photomasks combining double
exposure technique was proposed. The pseudo DPL result in terms of mask CD uniformity, image placement
and overlay were demonstrated. In this evaluation procedure, decomposition restriction, mask latitude and
fabrication load were also discussed
DPL (Double Patterning Lithography) has been identified as one of major candidates for 45nm and 32nm HP since
ITRS2006update and several reports of the performance or challenges of DPL have been published. DPL requires
at least two photomasks with tighter specification of image placement and the difference of mean to target
according to ITRS2006update. On the other hand, approximately half of whole features of single layer are written
on each photomask and the densest features are split into other photomask in consequence of pitch relaxation for
DPL. Then the photomask writing data of two sets for DPL and single data for single exposure are evaluated for
photomask fabrication load. The design will be automatically decomposed with EDA tool and OPC will be tuned
as DPL or single exposure. Not only number of fractured features but also feasibility study of automatic
decomposition will be presented and discussed. The consequences of relaxed pitch on process, inspection, repair,
yield, MEEF and cycle time will be discussed with results as available.
The ArF water immersion is one of the most promising candidate technologies for 45-nm node lithography. But it have
been predicted that the realization of 32-nm node (minimum half pitch 45nm) is very difficult when using the water
immersion of 1.35 NA and single mask exposure. Therefore, some double-exposure technologies are expected for
32-nm node logic device. However, the single mask exposure would be expected because it has very big advantage of
short process time and/or cost etc., compared to other double-exposure methods. In this research, we evaluated two NA
setting of ArF immersion as the models and the required structure and error budget of photomasks. One is the maximum
NA of water immersion (= 1.35) and another is using high refractive index materials with NA of 1.55. The lithographic
performance was evaluated for line and space pattern through various pattern pitches with optical proximity correction
(OPC). The evaluation items of printing performance are CD-DOF, contrast-DOF and MEEF, etc. The suitable kind of
mask and structure are also considered with effect of several kinds of mask topography error. The limit of single mask
exposure will be examined by setting the restriction such as minimum half pitch and so on.
Double patterning technology (DPT) is one of candidates to achieve 45nm or 32nm half-pitch and is getting
popular as ITRS2006update(1). ITRS2006update specifies the tight specification of image-placement and the
difference of CD mean-to target of two masks, and they are also evaluated and reported(2). From photomask
fabrication viewpoint or just even employing actual wafer exposure experiment, it's much difficult to evaluate
actual impact on wafer using DPT. Because what observed on wafer is mixture of not only photomask-property but
also exposure's one and new topic of hard-mask process'. In this paper, one evaluation procedure will be proposed
using actual two photomasks and the DPT impact on wafer just from two photomasks will be demonstrated. Then
the approach of wafer image composing procedure with photomask-SEM image, photomask measurement and
exposure simulation will be discussed
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of masks and substrates has been required. Mainly,
following three materials, quartz substrates, absorber or phase shifter materials and pellicle films, have been discussed
for that issue.
Item to be discussed on quartz substrates is birefringence. It has been said that birefringence of quartz substrates
affects printed CD on the wafer and is required to control on the masks or substrates. We will report how substrate
birefringence affects the printed CD error by 3D simulations.
Item of absorber or phase shifter material is optical characteristics. We will discuss about how optical parameters of
mask materials affect to diffracted light intensity balance and how these characteristics also affect to printing
performance by 3D simulation results. In the result of this section, we will show current 6%EAPSM film has good
printing performance down to half pitch 45nm.
Item of pellicle film is thickness optimization. It has been described in some papers that the issues will occur if the
film's characteristics will not been changed. Main issue is transmission change caused by film thickness variations. We
will report current pellicle film's performance and will propose how to minimize this issue by the thickness optimization.
In order to confirm those items, we used the pattern model as minimum half-pitch = 45nm and target CD on the
wafer = 45nm for 3D simulations. The illumination condition of the scanner was used as maximum NA=1.35, Dipole or
Cross quadrupole shape and polarized illumination.
In recent years, model-based OPC has been an essential technique to achieve better yield or even if resolution itself.
Currently available OPC software employs optical simulation with thin-mask model or approximated model. However
for 45nm-node and beyond, it is well-known that there is difference between 2D simulation by calculating thin-mask
model and 3D rigorous simulation by calculating thick-mask model such as FDTD or RCWA. Especially, it is expected
that larger incident angle of off-axis illumination and higher aspect ratio of mask topography lead larger differences
between them. On the other hand, thick-mask model OPC consumes much computation time, so it will not be practical.
The difference of these two simulation models is caused from the effect of mask topography and behavior of
electromagnetic field on 3D rigorous simulation. The effect of mask topography also creates the difference of diffraction
amplitude and phase at Fourier optics stage or imaging from diffraction. Then such diffraction orders with thin and
thick-mask model was focused and evaluated at first approach.
In this paper, the difference of diffraction orders' amplitude between two simulation models caused by illumination angle,
mask materials is analyzed and then the difference of OPC bias for various pattern pitches is presented. Then from this
result, the compensation methodology of the diffraction differences is discussed and simple compensation approach for
OPC to improve the accuracy with thin-mask model's OPC is demonstrated. As a result, one new solution for OPC
without additional computer time is proposed.
Hyper-NA lithography with polarized light illumination is introduced as the solution of 45nm or 32nm node
technology. In that case, consideration of new characteristics of mask materials and pellicle films has been required. In
order to analyze the influence of mask material's optical characteristics, we have proposed to use the AIMSTM system
measuring diffraction intensity balance in previous work. That was enabled by acquiring pupil plane images using the
Bertrand lens in the AIMSTM system to measure selected area's diffracted light.
In this study of mask material evaluation, we used same functionality of AIMSTM system, MonoPole illumination
and Bertrand lens, as previous work but other direction's pole is also used on the illumination aperture to cover total
diffraction orders of Cross-quad illumination because this illumination is more flexible for x and y patterns. In order to
get diffracted light of 45nm half-pitch, hyper-NA e.g. NA=1.35 was applied and the AIMSTM 45-193i Alpha system was
used for this evaluation. The examinations were performed with binary and half tone PSM with half pitch 40 to 150nm
on a 1x scale and fixed half pitch 45nm with various mask bias. We confirmed the relation between diffractions' intensity
balance and wafer printing performance for each material and we compared them to 3D simulation results.
Moreover, by using the same functionality of AIMSTM system, the transmission change by pellicle film was also
examined. We have prepared two different thickness pellicles to compare transmission change and printed CD on the
wafer. Intensity profile at pupil plane on the clear region of the mask was acquired with Bertrand lens and conventional
large sigma setting for both with and without pellicle film on the mask. By comparing transmission distribution change
between with and without pellicle, we could calculate transmission loss by pellicle at large incident angles. For this
experiment, NA=1.40 was applied and the AIMSTM 45-193i Alpha system was also used. The examinations were
performed with half tone PSM at half pitch 45nm and 65nm on a 1x scale on linear polarized DiPole illumination.
As a result, we have confirmed good agreement between AIMSTM measurement data and optical 3D simulations. In
conclusion, the AIMSTMsystem is a valuable tool for analyzing diffraction efficiency or intensity distribution on the
pupil plane and comparison to wafer printing performance.
Double Patterning Technology (DPT) has been evaluated and reported since 32nm half pitch is recognized to be required
with conventional immersion ArF lithography. DPT requires pattern decomposition into two pattern sets and the
decomposition becomes more complex for especially so-called logic pattern including irregular pattern placement and
many-vertices polygons. The innocent decomposition often creates forced segmentation of those polygons and two
different aspect of photomasks such as density or substantial line direction. Those decomposed photomasks not only
produce large possibilities of different error behavior but also leave annoyance complexity untouched.
It is well known that line-ends and dense twisted lines produce large MEF. Then tighter specification for photomask
fabrication have been required since the resolution limit was getting below the exposure wavelength. So the
decomposition that creates tight patterns into separate two photomasks has possibilities of the fabrication load lighter.
In this paper, the decomposition of criteria for DPT which helps photomask fabrication with a small possibilities is
evaluated and discussed. Furthermore though it's getting to popular that overlay and CD uniformity of photomasks for
DPT impact to completed CD with wafer exposure directly, considering other errors such as CD shift or phase error
which are supposed to recover by exposure in addition to those errors are also studied.
As for 32-nm node (minimum half pitch 45-nm) logic device of the next generation, the leading semiconductor
device makers propose the following three kinds of lithography techniques as a candidate, multi-exposure with water
immersion lithography. So we will evaluate them.
In previous work, we evaluated the resolution limit and printing performance through various pitches of 45-nm
node (minimum half pitch 65-nm) lithography. We evaluated the alternate aperture phase shift mask(alt-PSM) of NA=0.93
(dry and immersion) and various resolution enhancement technologies (RETs) with off-axis and polarized illumination of
NA=1.07(water immersion). The minimum k1 examined at previous time was 0.31 and 0.39 respectively. To achieve 32-nm
node of the next generation with water immersion lithography, we must use higher NA but yet severe k1. The combination
of the strong RET, polarization and multi-exposure is thought to be required. In order to resolve severe k1 (<0.3), the double
patterning is thought as a promising candidate technology, though the disadvantageous points will appear such as very
severe alignment accuracy and the twice process of wafer. In this report, we will discuss some RETs such as double dipole
lithography(DDL), double patterning lithography(DPL) and alt-PSM that have sufficient printing performance through
various pitches of 32-nm node. We evaluate the effect and the performance of the selected lithography side RETs and mask
material RETs for each, using optical simulation software.
In order to realize 45 nm node lithography, strong resolution enhancement technology (RET) and water immersion will be needed. In this research, we discussed about various RET performance comparison for 45 nm node using 3D rigorous simulation. As a candidate, we chose binary mask (BIN), several kinds of attenuated phase-shifting mask (att-PSM) and chrome-less phase-shifting lithography mask (CPL). The printing performance was evaluated and compared for each RET options, after the optimizing illumination conditions, mask structure and optical proximity correction (OPC). The evaluation items of printing performance were CD-DOF, contrast-DOF, conventional ED-window and MEEF, etc.
It's expected that effect of mask 3D topography becomes important at 45 nm node, so we argued about not only the case of ideal structures, but also the mask topography error effects. Several kinds of mask topography error were evaluated and we confirmed how these errors affect to printing performance.
As pattern feature sizes on the wafer become smaller and smaller, requirements for CD variation control has become a critical issue. In order to correct CD uniformity on the wafer, the DUV light transmission distribution of the photomask was altered using an ultra-fast pulsed laser technology. By creating a small scattering pixel inside the quartz body of the mask, a multitude of such points creates Shading Elements inside the quartz according to a predetermined CD variations distribution map. These Shading Elements reduce the dose of scanner's laser illumination onto the wafer per a local area. Thus by changing the local light intensity, inside the exposure field, to a required level during the photolithographic process the wafer CD is changed locally inside the field. This complete process of writing a multitude of Shading Elements inside the mask in order to control the light transmission and hence wafer level CD locally is called the CD Control (CDC) process.
We have evaluated the tool utilizing Ultra fast laser pulses (CDC 101) for local transmission and CD controllability on the wafer. We used Binary and Att-PSM test masks and three kinds of test patterns to confirm the sensitivity of transmission and CD change by the attenuation levels of Shading Elements which is sequentially changed from 0% to 10%. We will compare the AIMS results to printed CD on wafer or simulation results, so that we can correlate the transmission change and CD change by the attenuation levels. This paper also reports the CD uniformity correction performances by using attenuation mapping method on Binary mask. We also cover how Shading Elements affect the phase and transmission on the Att-PSM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.