Paper
25 March 2020 Accelerated optimization of multilayer trench etches using model-based experimental design
Author Affiliations +
Abstract
As the critical dimensions (CDs) of etch profiles continue to decrease, precise control of plasma etch processing becomes increasingly important. Achieving this control requires optimizing etch recipes, which is time consuming and expensive as an extensive amount of experiments must be performed. Here we present a method for the prediction of process windows to achieve target CDs for high aspect ratio trenches using model-based experimental design. A reduced-order model of the physics and chemistry of the etch is used to identify the best experiments to perform to calibrate the model. The model is then used to efficiently explore the process parameter space to identify the largest ranges of process parameters that achieve desired ranges of CDs. The methodology is practically demonstrated on a three-step trench etch through three layers of material consisting of spin-on-glass, spin-on-carbon and silicon. It is found that this physics-model based method requires less than half as many experiments to identify the optimal etch recipe than full-factorial design of experiments.
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kara Kearney, Sonali Chopra, Xilan Zhu, Yang Ban, Roger T. Bonnecaze, and Meghali C. Chopra "Accelerated optimization of multilayer trench etches using model-based experimental design", Proc. SPIE 11329, Advanced Etch Technology for Nanopatterning IX, 113290Z (25 March 2020); https://doi.org/10.1117/12.2552156
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

System on a chip

Silicon

Calibration

Model-based design

Argon

Critical dimension metrology

RELATED CONTENT


Back to Top