Paper
23 September 2009 Patterning of 90nm node flash contact hole with assist feature using KrF
Yeonah Shim, Sungho Jun, Jaeyoung Choi, Kwangseon Choi, Jae-won Han, Kechang Wang, John McCarthy, Guangming Xiao, Grace Dai, DongHwan Son, Xin Zhou, Thomas Cecil, David Kim, KiHo Baik
Author Affiliations +
Abstract
Patterning of contact holes using KrF lithography system is one of the most challenging tasks for the sub-90nm technology node,. Contact hole patterns can be printed with a KrF lithography system using Off-Axis Illumination (OAI) such as Quasar or Quadrupole. However, such a source usually offers poor image contrast and poor depth of focus (DOF), especially for isolated contact holes. In addition to image contrast and DOF, circularity of hole shape is also an important parameter for device performance. Sub-resolution assist features (SRAF) can be used to improve the image contrast, DOF and circularity for isolated contact holes. Application of SRAFs, modifies the intensity profile of isolated features to be more like dense ones, improving the focal response of the isolated feature. The insertion of SRAFs in a contact design is most commonly done using rule-based scripting, where the initial rules for configuring the SRAFs are derived using a simulation tool to determining the distance of assist features to main feature, and the size and number of assist features to be used.. However in the case of random contact holes, rule-based SRAF placement is a nearly impossible task. To address this problem, an inverse lithography technique was successfully used to treat random contact holes. The impact of SRAF configuration on pattern profile, especially circularity and process margin, is demonstrated. It is also shown that the experimental data are easily predicted by calibrating aerial image simulation results. Finally, a methodology for optimizing SRAF rules using inverse lithography technology is described.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yeonah Shim, Sungho Jun, Jaeyoung Choi, Kwangseon Choi, Jae-won Han, Kechang Wang, John McCarthy, Guangming Xiao, Grace Dai, DongHwan Son, Xin Zhou, Thomas Cecil, David Kim, and KiHo Baik "Patterning of 90nm node flash contact hole with assist feature using KrF", Proc. SPIE 7488, Photomask Technology 2009, 748837 (23 September 2009); https://doi.org/10.1117/12.833499
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

SRAF

Photomasks

Optical lithography

Image processing

Lithographic illumination

Optical proximity correction

Back to Top