Paper
29 March 2013 EUV lithography performance of negative-tone chemically amplified fullerene resist
A. Frommhold, D. X. Yang, A. McClelland, X. Xue, R. E. Palmer, A. P. G. Robinson
Author Affiliations +
Abstract
With Extreme Ultraviolet Lithography (EUVL) emerging as one of the top contenders to succeed from optical lithography for the production of next generation semiconductor devices, the search for suitable resists that combine high resolution, low line edge roughness (LER) and commercially viable sensitivity for high volume production is still ongoing. One promising approach to achieve these goals has been the development of molecular resists. We have previously reported on a molecular negative tone resist for e-beam lithography based on fullerene derivatives. Since then we have developed the system further to adapt it to EUVL. Investigation into the lithographic performance of the resist shows resolution down to 20 nm halfpitch with LERs < 5 nm and sensitivities ~ 20 mJ/cm2.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
A. Frommhold, D. X. Yang, A. McClelland, X. Xue, R. E. Palmer, and A. P. G. Robinson "EUV lithography performance of negative-tone chemically amplified fullerene resist", Proc. SPIE 8682, Advances in Resist Materials and Processing Technology XXX, 86820Q (29 March 2013); https://doi.org/10.1117/12.2011464
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Fullerenes

Extreme ultraviolet lithography

Lithography

Semiconductors

Electron beam lithography

Semiconducting wafers

Back to Top