Paper
18 March 2014 EUV OPC modeling and correction requirements
Author Affiliations +
Abstract
In this paper we discuss the EUV OPC modeling challenges and potential solutions, as well as OPC integration requirements to support the forthcoming application of EUV lithography. 10-nm-node OPC modeling is considered as an example. Wafer and mask process data were collected for calibration and verification patterns, to understand the mask making error/OPC model interactions. Several factors, including compact mask topography modeling impact, were analyzed by means of rigorous simulations and model fitting. This was performed on a large-scale data set, to ensure accurate characterization of the OPC modeling strategies, using a large number of patterns.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Tamer H. Coskun, Tom Wallow, Gek Soon Chua, Keith Standiford, Craig Higgins, and Yi Zou "EUV OPC modeling and correction requirements", Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 90480W (18 March 2014); https://doi.org/10.1117/12.2046341
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

3D modeling

Optical proximity correction

Data modeling

Extreme ultraviolet

Calibration

Semiconducting wafers

RELATED CONTENT

OPC model building for EUV lithography
Proceedings of SPIE (September 26 2019)
Model calibration and validation for pre-production EUVL
Proceedings of SPIE (March 23 2012)
Accurate models for EUV lithography
Proceedings of SPIE (September 23 2009)

Back to Top