The critical layer masks for 14 nm and 10 nm logic nodes are typically bright field, and the key features are opaque
structures on the mask. In order to meet the tight critical dimension (CD) requirements on these opaque features the use
of a high quality negative tone chemically amplified e-beam resist (NCAR) is required. Until very recently the only
negative tone e-beam resists available for use by the mask industry were the traditional cross linking type in which ebeam
exposure cross links the material and makes it insoluble in developer. In this paper we will describe the
performance of a new polarity switching type of NCAR resist that works by changing the solubility of the exposed resist
without cross linking. This has the advantage of significantly reduced swelling and scumming and resulted in major
improvements in the resolution of heavily nested features and small clear features on the mask. Additional detailed
characterization results will be described.
The use of organic solvents in the development of chemically amplified (CA) resists has been known since the
introduction of DUV lithography into manufacturing over twenty years ago [1,2]. In this approach a negative tone image
is produced using an aqueous base developable positive tone resist developed in an organic solvent. Recently there has
been an increased interest in negative tone imaging due to superior performance for specific masking levels such as
narrow trenches and contact holes [3].
Negative tone imaging of this type is based on differences in the polarity between the exposed and unexposed regions of
the resist film. The dissolution contrast can be optimized by selecting a solvent with the proper match of solubility
parameters (polarity, hydrogen bonding and dispersion) to attain good solubility of the relatively nonpolar unexposed
resist and poor solubility of the deprotected acidic exposed film. Another approach is to tune the properties of the resist
polymer for a given solvent, creating a new optimized resist. We have explored a third methodology to achieve a high
contrast solvent developable system without a need to modify resist or solvent. In this report we describe a process that
exploits the differences in solubility between ionic and organic materials. In this method an ionic species is introduced
into the resist film following post-exposure bake to alter the polarity in such way that the resist contrast can be improved
in organic solvent development. We describe processes using pre-rinses and developers containing salts. Lithographic
response, characterized using contrast curves and imaging, is presented for a variety of resist platforms. We show
evidence for ionic incorporation into the resist film using SIMS, XPS, QCM and FTIR characterization. We demonstrate
the practical applicability of this method to 248nm, 193nm, e-beam and EUV exposures.
Resist materials rely on solubility differences between the exposed and unexposed areas to create the
desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area
causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone
resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed
area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm
optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size
blob-like defects were found in large numbers under certain exposure conditions. This paper will describe
the process and methodologies used to investigate these blob defects.
Line Edge Roughness (LER) continues to be a serious problem for high resolution 193 nm (ArF), E-beam and EUV resists despite years of research. Changes to the resist formulations, the use of low molecular weight (MW) materials, such as molecular glass resists, and special developers have all been used in attempts to minimize LER. In addition, much recent work has focused on post development processes such as rinses, special coatings and thermal treatments to reduce roughness. However there remains a lack of understanding of the origins of LER. Recently researchers have described interesting results based on the use of in situ high speed AFM to characterize LER during development.1 In this report we describe a complementary technique wherein the evolution of the roughness of the resist line is measured at different times during the development process. This is accomplished by using a specially designed flow cell 2-5 to control the developer contact time for a series of identically patterned fields and measuring the partially developed patterns with scanning electron microscopy (SEM). We will describe the results for different resist chemistries at 248 nm (KrF). In one aspect of this study, we examine resists that have been patterned at different aerial image contrast (AIC) to systematically probe its effect on LER 6 for a given resist. We intend to extend this work to different exposure systems including 193 nm, EUV and electron-beam.
Electron beam resists develop a surface potential during exposure that can lead to image placement errors of up to several nanometers [1] and cause poor CD uniformity and image quality. To address this problem, we have formulated a conductive polymer that can be coated onto the resist. Our conductive discharge layer (CDL) is water soluble and it is easily removed during subsequent processing steps. We have established that our material has low enough resistance for full charge dissipation during e-beam exposure and have carried out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these findings, which include measurements of the effect of the CDL application on resist resolution, contrast, speed, and roughness on both wafer and on mask.
In order to meet the challenging patterning requirements of the 14 nm node, the semiconductor industry has
implemented use of negative tone develop (NTD) and other tone inversion techniques on wafer to enable use of bright field masks which provide an improved lithography process window.1,2,3 Due to e-beam write time and mask pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the performance of their negative tone chemically amplified resist (NCAR) processes. In addition, the move to heavy use of bright field masks is introducing new challenges for mask makers. Bright field masks for 14 nm critical layers are required to have opaque sub-resolution assist features (SRAFs) as small as 50 nm while at the same time having across mask critical dimension uniformity (CDU) of less than 2 nm (3 sigma) to meet the 2014 ITRS targets.4 Achieving these specifications is particularly difficult for bright field contact and via level masks.
This paper will survey the performance requirements for NCAR resists for building 14 nm critical level masks. As part of this survey, the results of current commercially available and development NCAR resists will be compared. The study will focus on key elements of the resist process pertaining to line edge roughness, pattern fidelity, minimum feature size, and critical dimension control through density with differences in resist type, sensitivity, and thickness. In addition, use of a novel flow cell test apparatus for detailed study of the develop loading performance of the NCAR resists will be described. Data showing the current capability of these NCAR materials as well as remaining 14 nm node performance gaps and issues will be presented.
Electron beam resists develop a surface potential during exposure, which can lead to image placement
errors of up to several nanometers [1] and result in poor CD uniformity and image quality. To address this
problem, we have synthesized a conductive polymer that can be coated onto a resist. Our conductive
discharge layer (CDL) is water-soluble and is easily removed during subsequent processing steps.
Having established that our material has a low enough resistance for full charge dissipation, we have carried
out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these
findings, which include measurements of the effect of the CDL on the resolution, roughness, and speed of
the resist.
Variations in critical dimension (CD) as a function of the proximity of an individual feature to other exposed areas are
continuing to be a problem in the lithography process. For example, the CD uniformity (CDU) may degrade significantly
depending on the proximity to densely or sparsely exposed areas. These pattern density effects will continue to get worse
and become more complex as feature sizes decrease.
Pattern density effects are believed to arise from several sources and may simultaneously contribute to a net observed
CD variation [1]. One such source, develop loading, results in local depletion of developer in highly exposed regions,
reducing the dissolution rate and thereby locally affecting CD. In this report we describe our results in visualizing
develop loading by using pH sensitive dyes. Two different types of dyes are explored: acid/base pH indicators and a
fluorescent dye bound to the resist polymer.
Molecular glass resists have gained attention for the past decade as a potential platform
for high resolution lithography. Several molecular resist materials based on the
calix[4]resorcinarene system have been developed. Though this molecular system is very
versatile, there are several challenges with the synthesis and processing of these
materials. The difficulty to synthesize a monodipserse unit, the poor solubility in casting
solvents and incompatibility with conventional developer are some noted challenges. We
have addressed these issues by designing a new calix[4]resorcinarene resist material with
hexafluro alcohol (HFA) units. The resist platform has been evaluated with e-beam and
EUV lithography.
Variations in critical dimension (CD) as a function of the proximity of an individual feature to other exposed areas are a
continuing problem both in mask fabrication and in optical lithography. For example, the CD uniformity (CDU) may
degrade significantly depending on the proximity to densely or sparsely exposed areas. These pattern density effects will
continue to worsen as feature sizes decrease to 22 nm and below.
Pattern density effects in electron beam lithography using chemically amplified resists are believed to arise from several
sources. One such source, fogging, refers to the backscattering of secondary electrons onto the resist to cause deviations
from the nominal pattern size. A second contributor is acid volatility, where photogenerated acid is presumed to
redeposit on the wafer or mask during exposure or bake; here we refer to this effect as chemical flare. A third source of
pattern density effects is develop loading, which results in local depletion of developer in highly exposed regions. All
three of these may simultaneously contribute to a net observed CD variation.
In this report we describe the application of two different techniques for evaluating these proximity effects. The first is
based on electron-beam lithography patterning, and compares CD values of test patterns which are exposed under brightfield
and dark-field conditions. The second uses a series of different test patterns formed by DUV (248nm) exposure and
a custom liquid flow cell to separately characterize resist related density effects.
Fluoroalcohol-containing materials have found considerable use in 193 nm immersion topcoat and topcoat-free
immersion resist materials due to their good water contact angles and base-dissolution properties.
Trifluoromethanesulfonamide-containing materials are another alternative which have been explored for use in 193 nm
photoresist and immersion topcoat applications; however, fluorosulfonamide materials have suffered from issues such as
low water contact angles. In this paper, we report the synthesis of a series of fluorosulfonamide-containing methacrylate materials with water contact angle and base dissolution performance that rivals or exceeds that of comparable fluoroalcohol-based materials.
Many recent publications have highlighted pattern density effects as a problem in both electron-beam and optical
lithography. These effects are manifested as a systematic variation in critical dimension as a function of position on the
wafer. It is becoming an increasing problem as the pattern density and diminishing critical dimensions are needed for
production nodes 32nm and beyond.
One potential source of pattern density effects is acid volatility, where acid is presumed to redeposit during exposure or
bake; here we refer to this effect as chemical flare. Another source of density effects is develop loading which refers to
the impact of local depletion of developer in highly exposed regions. Both develop loading and chemical flare can cause
deviations in feature size that may be difficult to correct for by adjustment of the exposure process.
Here we describe a method that allows the detrimental effects of chemical flare and develop loading to be separately characterized. The method makes use of arrays of 248 nm exposure sites and a controlled develop process within a custom liquid flowcell; this combination enables a systematic study of these effects.
Classical electron-beam resists such as poly(methyl methacrylate) (PMMA) and Nippon Zeon's ZEP function as high
resolution and low roughness positive resists on the basis of radiation induced main chain scission to reduce the
molecular weight while chemical amplification resists utilized in device manufacturing function on the basis of acidcatalyzed
deprotection to change the polarity. In an attempt to increase the resolution and reduce the line roughness of
chemical amplification resists, we prepared copolymers that undergo radiation induced main chain scission and acidcatalyzed
deprotection. In another word, we wanted to increase the sensitivity of the PMMA resist by incorporating the
acid-catalyzed deprotection mechanism in polymers that undergo main chain scission, maintaining the high resolution
and low roughness of PMMA. To synthesize such hybrid resist polymers, we selected α-substituted acrylates and α-
substituted styrenes. The former included methyl methacrylate (MMA), t-butyl methacrylate (TBMA), methyl α-
fluoroacrylate (MFA), t-butyl α-fluoroacrylate (TBFA), and t-butyl α-trifluoromethylacrylate (TBTFMA) and the latter
α-methylstyrene (αMEST), α-methyleneindane (αMEIN), and α-methylenetetralin (αMETL). The α-substituted tbutyl
acrylic esters were copolymerized with the methyl esters and also with α-substituted styrenic monomers using 2,
2'-azobis(isobutyronitrile) (AIBN). Hybrid resists were formulated by adding a photochemical acid generator and a
base quencher to the copolymers and developers were selected by studying the dissolution behavior of unexposed and
254 nm exposed resist films using a quartz crystal microbalance (QCM). In addition to the difference in the imaging
mechanism, PMMA and ZEP differ from the chemical amplification resists in developers; organic solvent vs. aqueous
base. We were interested in looking also into the influence of the developer on the lithographic performance.
Contrast curves were generated by exposing the resist films to 100 keV electron beams and by changing the
postexposure bake temperature (PEB) on a thermal gradient hot plate (TGP). The resists were imaged on our Leica 100
keV electron-beam system and line roughness was measured.
In this paper, we employ the self-segregating materials approach used in topcoat-free resists for water immersion lithography to extend the performance of topcoat materials for water immersion and to increase the contact angles of organic fluids on topcoat-free resists for high index immersion lithography. By tailoring polymers that segregate to the air and resist interfaces of the topcoat, high contact angle topcoats with relatively low fluorine content are achieved. While graded topcoats may extend the performance and/or reduce the cost of topcoat materials, the large amount of unprotected acidic groups necessary for TMAH development prevent them from achieving the high contact angles and low hysteresis exhibited by topcoat-free resists. Another application of this self-segregating approach is tailoring resist surfaces for high index immersion. Due to the low surface tension and higher viscosities of organic fluids relative to water and their lower contact angles on most surfaces, film pulling cannot be prevented without dramatically reducing wafer scan rates; however, tuning the surface energy of the resist may be important to control stain morphology and facilitate fluid removal from the wafer. By tailoring fluoropolymer additives for high contact angles with second generation organic high index immersion fluids, we show herein that topcoat-free resists can be developed specifically for high index immersion lithography with good contact angles and lithographic imaging performance.
Directed polymer self-assembly which combines lithographically defined substrates and self-assembled polymers has
been considered as a potential candidate to extend conventional patterning techniques. In the past few years, successful
demonstration of directed self-assembly of block copolymer shows that this method can afford sub-lithographic
resolution or enhances dimensional control. However, integration of polymer self-assembly into standard lithographic
processes remains a challenge and requires new materials. In this paper, we demonstrate robust and thermally crosslinked
underlayer materials which control the orientation of block copolymer assemblies and are compatible with
standard lithographic processes. These new materials allow simple integration of perpendicularly oriented polystyrene-b-
polymethylmethacrylate (PS-b-PMMA) domains into standard manufacturing processes.
Over a period of last several years 193 nm immersion lithography from a remote and unlikely possibility
gradually became a reality in many fabrication facilities across the globe and solid candidate for high volume
manufacturing for the next generation technology node. It is being widely understood in the industry that top-coatless
resist approach is a desirable final stage of the immersion process development. However creating low-defect high
performance top-coatless resist materials requires understanding of the fundamental material properties of the top layer,
responsible for leaching suppression, immersion fluid meniscus stability, and in this way enabling high speed low-defect
scanning.
While a lot of progress has been made in implementing specific top coat materials into the process flow, clear
understanding effects of the top coat properties on the lithographic conditions and printing capability is still lacking. This
paper will discuss top coat materials design, properties and functional characteristics in application to novel
fluoroalcohol polymer-based immersion top coat.
We have used our fluoroalcohol based-series designs (titled MVP top coat materials further on in the paper) as a
test vehicle for establishing correlations between top coat performance and its physical and chemical properties including
hydrophobicity, molecular weight/dispersity etc. Effects of polymer-solvent interactions on the contact angle and
characteristics of the top coat material are explored, providing valuable understanding transferable to design of new
generation top coats and top-coatless materials. Our resultant new designs demonstrated excellent lithographic
performance, profiles and low leaching levels with commercially available resist and high receding contact angles,
comparable to the commercial top coat materials.
Surface properties of materials are an important, even vital, consideration in immersion lithography. Many
investigations have shown that sessile drop static contact angles are not entirely useful in the design of high performance
immersion lithography surfaces. We have synthesized and characterized a broad family of "hydrophobic" materials and
this paper will share information on the role of molecular structure on contact angles and hysteresis of these immersion
materials. Insight into the relationship between structure and contact angles for future immersion materials will be
presented.
Immersion lithography has placed a number of additional performance criteria on already stressed resist materials.
Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan
rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers
were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion
lithography. Achieving the delicate balance between the low surface energies required for high water contact angles
(generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is
challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have
been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we
have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial
properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties
such as water contact angle, aqueous base contact angle, and dissolution rate.
The interaction of water with the photoresist film stack is proving to be a key factor in the current generation of 193-nm immersion lithography. Photoresist performance, CD control, optics lifetime, defectivity, overlay and possibly even tool throughput can all be affected by this interaction. Defect control has been an area of increasing concern as the source of the defects can be quite different than that found in conventional dry lithography [1]. Defects can originate from the UPW (Ultra Pure Water) either as particulates or as dissolved solids that precipitate from residual droplets left behind after scanning. Another source of defects can be particulates generated by the immersion fluid as it flows through the exposure tool or as a consequence of water contact with the resist film or resist/topcoat film stack. Recently there have been reports of printable defects due to stains or "watermarks" on the surface of the photoresist [2]. In this report we describe techniques for the visualization of watermarking and particulate formation on a variety of film surfaces. We also describe experiments testing the staining of a variety of water contaminants and additives and their effect on imaging performance. We will also describe the effect of different topcoats on imaging and defectivity in terms of their surface properties.
The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.
The introduction of an immersion fluid in contact with the resist-coated substrate is, from the perspective of the resist material, a significant departure from the conventional lithographic process. The impact of this modification on the lithographic imaging materials is as yet only poorly characterized. We report the results of studies aimed at an improved understanding of how immersion in water during exposure influences the functional properties of films of lithographic materials. We have evaluated the water permeability of candidate immersion topcoat materials; the role of immersion topcoat materials in reducing airborne contamination and water-resist interactions; the impact of water immersion on image blur in chemically amplified resists; and high-resolution imaging of candidate immersion resist materials under conditions of poor aerial image contrast. Analytical techniques such as QCM and reflectance analysis of thin films, DUV interferometric immersion lithography, and trace organic analysis are applied in this work.
Critical lithographic dimensions will soon place particularly severe demands on the performance of chemically amplified (CA) resists. Although Extreme Ultraviolet (EUV) and 193 nm (immersion interferometric) lithographic results have demonstrated half pitch imaging down to 35 nm there is nonetheless a concern that image blur due to acid diffusion will begin to seriously impact the utility of CA photoresists. Previously we demonstrated that low activation energy resists and E-Beam lithography can be used to print line/space arrays with resolution approaching 20 nm. We described the factors impacting the reactivity of ketal/pHOST based resists and compared the attainable resolution under different processing conditions. In this report we describe studies on acid diffusion emphasizing the role of water in low Ea systems. We also discuss methods for the control of water absorption in low Ea resists.
Polyhedral Oligomeric Silsesquioxane (POSS) derivatives have been investigated as potential candidates for high resolution resists. POSS materials are cage compounds with defined mono-disperse molecular weights. These materials are attractive candidates for molecular resist development because of their commercial availability and the ease with which they can be derivatized. These resists are more suited for bilayer resist applications because of their high silicon content. We have developed positive bilayer resists suitable for 193-nm and other emerging lithographic applications.
We address in this report a set of key questions tied to the implementation of liquid immersion lithography, from the perspective of the resist materials. We discuss the broad question of whether chemically amplified resists are capable of achieving the spatial resolution that ultimately will be required for the most advanced immersion scenario. Initial studies undertaken using model 193 nm resist materials provide some insight into how an aqueous liquid immersion process can affect the resist material.
As the semiconductor industry moves to sub 193 nm imaging wavelengths the switch to thin film resists with marginal transparency can result in a significant degradation of resist profiles. Tremendous progress has been made recently in lowering the absorbance of 157 nm polymer systems, however etch resistant single layer resists are likely to have absorbance values of 1-2/mm. Current generation EUV resists have even higher absorbance values of 2-3/mm. While the use of thin films mitigates (but does not eliminate) the effect of high resist absorbance the impact of resist footing, notching, and standing waves are exacerbated. Surface effects such as top rounding or surface contamination are also likely to be a significant concern.
One way to minimize the effect of non vertical profiles due to high absorbance and/or resist footing is through the use of optimized underlayer/ photoacid generator (PAG) systems. The PAG’s are selected so as to have higher diffusiveness but lower reactivities than the PAGs used in the resist itself in order to have a greater effect on the resist profile. Characterization data will be presented using a high absorbance resist (> 3.0/mm). Lithographic data on this system will be described and imaging data presented showing features down to 90 nm in a resist 120 nm thick.
Development of 193-nm negative resists that meet the stringent performance requirements of sub-100 nm resolution with conventional 0.26 N TMAH developer has proven to be a significant challenge. Most of the systems that are currently under development are based on cross-linking mechanisms. They commonly suffer from image distortion caused by micro-bridging. An alternative approach is to look at polarity switch mechanisms. We have investigated the acid-catalyzed elimination of polar molecules as one such mechanism which may provide a pathway to develop negative resists that do not suffer from micro-bridging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.