PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 12498, including the Title Page, Copyright information, Table of Contents, and Conference Committee information.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present the case for 2D transition metal dichalcogenides [TMD] replacing silicon transistors at ultra-scaled gate lengths. This new TMD 2D transistor technology has numerous clear advantages but experimentally there are still unsolved questions, therefore we will share both our current successes of high performance 2D transistors, as well as some of the current roadblocks. This talk includes a review of our progress in materials, contacts, gate stack and stacked gate all around 2D nanoribbons.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, we aim to demonstrate the progress of dry resist development to address specific challenges of high NA EUV lithography. As features scales, resist thickness and interface between resist and underlayer play a crucial role. The co-optimization of underlayers with dry technology enables tuning of the patterning stack for optimal performance. Dry deposition of resists offers precise control over thickness and composition to improve material variability. Dry development reduces the likelihood of pattern collapse and enhances the ability to print features at higher aspect ratio. Defect characterization at pitch 28nm test vehicles at imec have been used to validate the material and process improvements in the dry resist program. Furthermore, dry resist patterning performance at pitch 24nm dense line-space and pitch 34nm hexagonal dense pillars and contact holes will be discussed showing the readiness towards the high NA EUV patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The unique suitability of metal-oxide (MOx) photoresists for high-NA EUV lithography has been demonstrated by successful imaging of these resists at the resolution limit of high-NA exposure tools.
We utilize the capabilities of the 0.5-NA Berkeley MET5 and a 0.33-NA scanner to explore the RLS impact of specific formulation adjustments, alternative develop chemistries and bake processes, and etch optimization of features from 16-26nm pitch. We investigate the effect of these optimizations on defectivity at aggressive pitches using 0.33-NA exposures. These results validate both fundamental advantages and continued progress of MOx development to align with the introduction of high-NA imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV resist materials are crucial for enabling next-generation lithographic technologies that aim to achieve high-volume manufacturing (HVM) at sub-5 nm nodes. In this study, we report an extensive performance characterization of EUV photoresists for future high-NA EUV lithography. We investigated the performance of various resists using the EUV interference lithography tool at the Swiss Light Source (SLS) within the framework of a collaboration between the Paul Scherrer Institute and ASML. This paper presents the major outcomes of the work conducted in the second half of 2022. Important performance characteristics taken into account in this study are resolution or half-pitch (HP), dose-to-size (DtS) and line-width roughness (LWR). To evaluate the overall performance of the resists, we used the Z-factor. We investigated both chemically amplified resists (CAR) and non-CAR materials. CARs from two vendors achieved a resolution down to 11 nm half-pitch, while multi-trigger resists (MTR) reached a resolution of 13 nm. In comparison, MTRs demonstrated better Z-factor values owing to their high sensitivity. In addition, we investigated the effect of underlayers on the performance of metal organic resists (MOR). We, finally, discuss the overall progress in resist performance over recent years. We observed a steady improvement across several resist platforms, which is encouraging for global EUV resist development towards high-NA EUVL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, we introduce main chain scission resists with new concept for High-NA’s generation and report their lithography performance. Zeon has developed a new resist (ZER02#06M) in order to improve both resolution and lithography performance because conventional Zeon resists generated worse resolution and kissing(C/H), pinching(L/S) defects at tight pitch by top loss. The concreate approaches in order to improve the challenges are that to make scission reaction efficient and to get developability at exposed area higher in changing both monomers and functional groups. Zeon aims to make clear threshold between exposed and un-exposed area and improve low contrast and sensitivity at tight patterns especially. The lithography performance of ZER02#06M with their approaches were able to improve LCDU and margin with low LCDU on litho-performance compared to ZER02#04DM and ZER02#05M. Additionally, Zeon resists are indicated to have long-terms stability during litho-process with delay.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In semiconductor manufacturing, thousands of process steps are required to produce an integrated circuit (IC) chip; each with a uniformity signature that impacts yield. Typically, non-uniformities can be minimized by tuning process conditions. However, even after extensive process optimization, there’s often a residual signature that needs to be removed. The Z-Height chemistry and hardware set applies a spatial correction aimed at removing these residuals and improving planarity. The pitch doubled self-aligned block (SAB) approach of patterning sub-resolution metal lines is one such integration that benefits from this correction method by improving the block height uniformity to ensure proper pattern transfer and a wider process window. In this paper, we will discuss the approach to correct for the thickness non-uniformities induced by the spin-coating and etch processes of a spin-on glass film. Initial results show a 36% improvement in film thickness variation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Control of wafer backside defectivity is a challenge during the chip manufacturing process and has been extensively investigated throughout the past decade, especially on immersion lithography systems. As technology nodes continue to scale down and we approach the high NA EUV lithography era, backside contamination is becoming a critical problem. High NA EUV exposure systems have a smaller depth of focus compared to low NA EUV systems. The presence of backside wafer defects can easily lead to focus loss or on-product overlay errors leading to pattern failures. To anticipate the upcoming challenges, SCREEN has developed a sophisticated track-integrated backside cleaning (BSC) module on the DT-3000 system. This enables an advanced post-coating BSC solution directly before exposure. Together ASML, imec and SCREEN, investigated the potential of this unique BSC process to extend the lithographic performance of EUV material stacks, by correlating backside contamination with frontside patterning performance and the minimization of scanner focus spots. With this approach, we try to identify and characterize potential backside defect killers that could cause not only yield loss, but also physical deterioration of the scanner wafer table (WT) and its lifetime.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme Ultraviolet (EUV) lithography poses an ever greater challenge to RLS (resolution, line edge roughness, and sensitivity) than previous photolithography methods, as lithographers try to achieve sub-14 nm pitch in a single-exposure [1-2]. Additionally, EUV is particularly susceptible to stochastic imaging defects[3]. Although standard chemically amplified resists (CAR) can be exposed with EUV, these materials struggle to achieve resolution targets at manufacturable doses due to limitations in laser source power and resist sensitivity and contribute to stochastics by nature of their random distribution of components. An innovative approach with metal-oxide resist can offer an alternative to overcome both EUV resolution and sensitivity limitations, as well as address stochastic defects[4-5]. On the other hand, traditional sources of defectivity, such as particles, presents another challenge, especially when moving toward high volume manufacturing. Ultrahigh molecular weight polyethylene (UPE) filters have been used for metal oxide EUV resist filtration because of their high retention efficiency and excellent photochemical compatibility. However, other filters with innovative materials are needed to further lower defectivity of these new resists. This paper describes efforts to continuously improve metal oxide EUV resist defectivity through filtration optimization. A comparative study of the patterning performance of various Point-of-Use (POU) filters is presented. Several filters utilizing a variety of retention ratings and membrane designs were installed on a TEL Clean TrackTM LITHIUS ProTM Z EUV series. A metal oxide EUV resist was filtered and coated on wafers that were subsequently analyzed for after etch inspection (AEI) patterning defect performance. This study examines the efficacy of optimized filtration design to reduce defects and provides a recommendation to achieve lower defect density.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The control of wet particles (WPs) is more stringent as the resolution of photolithography increases, especially in advanced photolithography such as extreme ultraviolet lithography (EUVL). Owing to continuing advancements in technology and resolution, it is expected that WPs smaller than the EUVL photoresist (PR) pattern sizes will need to be eliminated from silicon wafer surfaces in the near future. WPs are mainly comprised of micro/nano bubbles or organic/inorganic contaminants. The contaminants are eluted from equipment components, such as liquid filters and tubing, prior to the coating process. Flushing with conventional solvents used in photolithography eliminates WPs, however it consumes a great deal of time and solvent. TRICTM-007, a novel cleaner, was developed to remove contaminants effectively and efficiently. A PR coating equipment with a point-of-use filter installed was used for testing. Flushing with TRICTM-007 followed by a conventional solvent allowed for shorter flushing times relative to flushing with solvent alone. In addition, the amount of solvent needed to flush the equipment after using TRICTM-007 was significantly less than that of using only solvent. Furthermore, the photolithographic performance of the PR was tested by running wafer repeatability test. Tests using a filter flushed with the cleaner followed by solvent were compared to tests using a filter flushed with solvent alone. All results were similar and within specifications, proving that TRICTM- 007 did not affect the quality of the PR.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The manufacturing process of advanced logic devices has become ever more challenging than before due to continued shrinkage in dimensions from scaling down and increased complexity from the integration of new transistor structures such as gate-all-around (GAA). Underlayers are utilized as a mask to protect targeted device structures while selected areas of deposited metal is removed by wet etchant during replacement metal gate (RMG) process to construct the transistor. Reported studies describing the developmental strategies for such underlayers have been mostly focused on how to strengthen the adhesion towards the substrate with the designed film properties. In this paper, we identify the effect of plasma during dry etching of the RMG process as the factor to be considered in designing of the wet etch resistant underlayer. Physical and chemical properties of organic films after dry etching with plasmas of different gases have been investigated using various analysis techniques, and the subsequent effect of plasma-modification on the film properties such as resistance towards wet chemicals for various films was evaluated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUVL Stochastics: Joint Session with 12494 and 12498
In 2019, finally, extreme ultraviolet (EUV) lithography has been applied to high volume manufacturing (HVM). However, the performance of EUV resist materials are still not enough for the expected HVM requirements, even by using the latest qualifying EUV resist materials. The critical issues are the stochastic issues, which will become ‘defectivity’. The analyzing summary of the stochastic factors in EUV lithography was reported, which described 2 (two) major stochastic issues, which are ‘Photon stochastic’ and ‘Chemical stochastic’. In the past, speaking of the stochastic issue was basically considered from low photon number from EUV light source, which means ‘photon shot noise’. It was still critical concerning point, even with recent progress on source power improvement. However, the stochastic issue is not only from them but also from EUV materials and processes, called ‘Chemical stochastic’. The ‘Chemical stochastic’ means caused from resist materials and processes for lithography, materials uniformity in the film, reactive uniformity in the film, and dissolving behavior with the developer. In this paper, we will focus on ‘Chemical stochastic’ improvement, especially, the dissolving behavior by using negative-tone imaging (NTI, using organic solvent-based developer) with EUV exposure (EUV-NTI). EUV-NTI had a potential for improving ‘Chemical stochastic’ because of their properties, which were low swelling and smooth dissolving behavior. However, the pattern collapse was easily observed for preparing fine patterns with the standard developer. Newly proposed novel formulated organic solvent-based developer will be expected to improve the patter collapse issue and ‘Chemical stochastic’. Lithographic performance will also be reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Modelling the pattern formation process in photoresist materials for extreme ultraviolet (EUV) lithography in a stochastic and mechanistic manner, with molecular-scale resolution, should enable predicting the effect of variations of material parameters and process conditions, leading to insights into the ultimate resolution limits. In this work, we present the results of the first steps toward that goal. We describe the physics of the development with time of cascades of electrons and holes, created by the stochastic absorption of 92 eV photons, using a kinetic Monte Carlo model with molecular resolution. The thin film material is modelled assuming a cubic array of lattice sites, at a distance that is consistent with the molecular density of the photoresist material that is considered. The simulation of the cascading process is based on the experimental optical energy loss function, extended to include also excitations with momentum transfer. The method allows for including the Coulomb interactions between charges. In contrast to earlier work, within which the high-energy electrons move ballistically until scattering takes place, the trajectories are in our model formed by stochastically determined interconnected molecular sites. In future extensions of the model, this approach will facilitate including in a natural way a transition from delocalized electron transport at high energies to hopping transport of localized electrons at low energies. The simulations are used to study the sensitivity of the average number of degradations per absorbed photon and the average electron blur length on the rates of elastic scattering and of molecular degradation, and on the energy that is lost upon a molecular degradation process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of the major challenges to lithography today is minimizing the consequences of stochastics, that is, the effect of statistical differences in photoresist structure and the distribution of additives, such as photo active compounds, in the photoresist. Most polymer photoresists due to their method of production will have large differences between polymer chains in molecular weight, composition, and sequence due to the nature of polymer synthesis. However, there exist methods of polymer formation that make uniform composition polymers such a homopolymers made using living polymerization. We discuss scissionable poly(phthalaldehyde)s as one example of a low stochastics photoresist. Using another method originally developed for the biological community we make polymers in which molecular weight, composition and sequence are identical in all polymer chains produced. Here we thus describe studies of polypeptoids, synthetic analogs of peptides, which have no chirality and in which the substituents are placed on the backbone nitrogen. The peptoids are produced as chemically amplified photoresists and are intended for study as EUV materials. To produce a CAR with aqueous base development using this hydrophilic backbone we have successfully learned how to make a more hydrophobic patterning system with Tg >100 °C. With our ability to control of sequence we have started to explore the effect that monomer placement has on lithographic performance and found that indeed sequence does play an important role. Sequences of solubility switch groups, adhesive, etch resistant and hydrophobic groups have been studied. Using e-beam lithography we have recently demonstrated sub-30 nm resolution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ability for the EUV photoresist to reproducibly and reliably print desired features is critical to the success of EUV lithography. However, at the desired feature sizes, fundamental resist inhomogeneities leading to local variations in resist sensitivity are a critical issue. Non-random molecular distributions within chemically amplified EUV photoresists can be caused by a combination of thermodynamics and kinetics. As the solvent evaporates during the spin coating process there is the opportunity at higher solids concentrations for the multi-component chemically amplified EUV resist to enter a state where it is energetically favorable to segregate. Then, any remaining molecular motion before the resist effectively dries to a solid will lead to potential for segregation of those molecules in the resist. We will show recent work to control the segregation by varying the resist coating and processing conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Semiconducting device manufacture encounters more lithographic challenges in terms of feature size shrinking, killer contaminants, and balancing performance requirements for advanced node patterning. This talk will provide an overview of the key barriers and scopes for materials innovations to enable high resolution patterning, fast photospeed, low roughness and defects, while maintaining a good processing window. It will also provide a fundamental view of how nanometric uniformity and the interplay between different components in a photoresist thin film impact pattern fidelity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For the advancement of lithography, the resist materials and processes are the most critical issue in the microfabrication of semiconductors. Especially in the sub-20 nm half pitch resolution region, the development process of resist materials is of particular importance from the viewpoint of reducing the line width roughness (LWR) and stochastic defects. In this study, a quartz crystal microbalance (QCM) method was used to investigate the dissolution dynamics of poly(4-hydroxystyrene) (PHS) films containing triphenylphosnium-nonaflate (TPS-nf) in tetraalkylammonium hydroxide aqueous solutions. The comparison of dissolution dynamics in five different developer solutions with different alkyl chain lengths was done.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The blur caused by the nonzero mean free path of electrons in photoresist during extreme ultraviolet lithography has detrimental consequence on patterning resolution, but its effect is difficult to measure experimentally. In this work, a modified substrate-overlayer technique was used to evaluate the attenuation of the photoemission spectra produced in thin chemically amplified photoresist films. The inelastic mean free path of electrons was found to be between 1 to 2 nm in the entire range of interest for EUV lithography (20 to 100 eV kinetic energy). At higher kinetic energy, the mean free path increased consistently with well-known behavior. The presence of photoacid generator and quencher did not change the mean free path significantly (within experimental error).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The emergence of extreme ultraviolet (EUV) sources utilizing 13.5 nm for high volume manufacturing played a role in upgrading semiconductor technology once again. The demand for photoresists to satisfy smaller and more advanced nodes is becoming increasingly important and challenging. The aim of this work is to investigate the chromatographic techniques to separate random copolymer for photoresist materials according to chemical composition. A systematic study is reported for a fundamental understanding of how the molecular weight distribution and chemical composition of polymers included in photoresist affect lithography performance. Compared to other conventional methods such as GPC, the new approach in this study is accurate and high resolution, and can be utilized to identify and quantify the organic polymer in photoresist
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fundamental understanding of the physical processes controlling deprotection in chemical amplified resists (CARs) is critical to improve their utility for high-resolution lithography. We employ a combined experimental and computational method to examine the impacts of excess free volume generation, reaction byproducts, catalyst clustering, and catalyst counter-anion chemistry/size on deprotection rates in a model terpolymer CAR. These studies suggest that catalyst diffusion can be enhanced by a combination of excess free volume and reaction byproducts, and that counter-anion chemistry/size plays a key role in local reaction rates, which stems from differences in the rotational mobility of the catalyst.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In EUV lithography, good resist patterning requires an assist layer beneath it to provide adhesion to prevent pattern collapse of small features and allow for higher aspect ratios. In addition, future EUV high numerical aperture (NA) is expected to require a decrease in thickness from the overall patterning stack. In this study, we are exploring a fundamentally new approach to developing an alternative and cost-effective underlayer to functionalize surfaces and enable EUV patterning. Rather than forming a 5-nm polymer film between the resist and its substrate, we propose to modify the substrate by spin-coating a thinner layer. In contrast to conventional underlayers (5–10 nm), the substrate is modified by a sub-1-nm layer during baking. Comprehensive analysis of the surface modification and coating was conducted by GPC, ellipsometer, and contact angle to identify the structure, stability, coating quality, and surface energy. Lithographic performance of existing EUV resist with the assist of this thin layer on Si wafers and different silicon hardmasks was evaluated using NXE3400 EUV exposure system to print HP14nm line-space features. It has been demonstrated that this sub-1-nm layer is able to realize HP14nm with a wider process window, higher depth of focus, and lower LWR on a Si wafer. Moreover, a silicon hardmask that could not realize printable features had significantly improved lithographic performance with the assist of this layer. Comparisons were also made with the industry-standard HMDS priming. Systematic analysis indicates that a sub-1-nm layer exemplifies a novel and effective way to enhance photoresist-substrate compatibility and improve EUV lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For EUV high NA lithography, current conventional tri-layer process has the critical issue both for EUV litho performance & pattern etch transfer. Especially since the latest EUV PR including CAR and MOR is very low film thickness around 10nm, Si containing hard mask (Si-HM) should be around 5nm. In this case, it is too difficult to transfer to SOC and the bottom hard mask layer. In order to prevent this critical issue, we propose new functional surface treatment process and primers (FSTP) on the conventional CVD hard mask or Si-HM. This FSTP is spin coating materials. However it is almost single molecular type ultra thin primer (~1nm) for all of the CVD & spin on hard mask (SiON, SiN, TiN, SiO2, SiHM, SOG and so on) not to bother fine pitch pattern transfer. Moreover, this FSTP has high universalithy to EUV PR CAR and MOR to achieve high patterning performance in EUVL. Therefore FSTP has big advantage in EUV litho process and pattern etch transfer for next generation High NA EUV process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Wafer backside particles is one of the issues in the IC manufacturing process that has been extensively investigated through the past decades, especially on immersion systems. However, as the technology nodes continue to scale down and we are approaching the high NA EUV lithography era, backside contamination control remains to be very important. To anticipate these upcoming challenges, SCREEN has developed a sophisticated track-integrated backside cleaning (BSC) module on the DT-3000 system, which offers an advanced post-coating BSC solution before exposure. Together with imec and ASML, we investigate the potential of this unique BSC process to guarantee the lithographic performance of EUV material stacks. This is done by correlating the backside contamination with frontside patterning performance before and after minimizing the number of scanner-focus spots. With this approach, we try to identify, characterize, and eliminate potential backside defects that could cause not only yield loss, but also physically deteriorate the scanner wafer table (WT) and hence its lifetime.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The semiconductor industry is on the rise of maturing EUV lithography in high volume manufacturing (HVM). There remain, however, challenges to be overcome in the advancement of photoresist to improve yield and reduce cost of ownership. Herein, we report a novel chemical trimming overcoat process as a post-lithography spin-on solution to enhance EUV photoresist performance, enabling effective photospeed reduction as well as process window enhancement, such as reducing bridging defect at underdose. This is a highly versatile and tunable process for most chemically amplified photoresists, therefore allowing it to become a general process for a wide range of applications across EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To further enable device scaling in HVM, new patterning materials are needed to meet the more stringent requirements such as line width and edge roughness (LWR and LER), dose sensitivity, pattern collapse, etch resistance and defectivity. The continuous progression of the shrinking of resist feature sizes will be accompanied by the scaling-down of the resist film thickness to prevent pattern collapse and to compensate for low depth-of-focus for high-NA EUV lithography. However, if we reduce the resist film thickness, we must also reduce the underlayer (UL) hardmask film thickness for optimum pattern transfer. As an alternative to spin-on underlayers, deposited ULs can be a potential candidate as it is possible to produce very thin uniformly deposited ULs, with the freedom to incorporate different elements to improve adhesion and modify etch selectivity. In this paper, we will discuss deposited ULs with film thickness scaled down to 3.5 nm for EUV lithography patterning as well as etch performance for pitch 32 and 28 line/space structures. We will also discuss about the possibility to modify the ULs to match the surface energy of the photoresist in use in order to minimize pattern collapse. Additionally, with scaled-down deposited ULs, we were able to obtain very similar post-litho unbiased roughness values (LWR 2.23 nm and LER 1.7 nm) as 10 nm spin-on reference UL (LWR: 2.26 nm and LER 1.66 nm). We will discuss more such details in terms of surface roughness, dose sensitivity, post-litho and post-etch LWR, LER, pattern collapse and defectivity in the presentation. Such ULs could become useful for high-NA EUV lithography when the litho stack is expected to scale down in thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The dissociative photoionization of tert-butyl methyl methacrylate, a monomer unit found in many ESCAP resists, was investigated in a gas phase photoelectron photoion coincidence experiment employing extreme ultraviolet (EUV) synchrotron radiation at 13.5 nm. It was found that the interaction of EUV photons with the molecules leads almost exclusively to dissociation. However, the ionization can also directly deprotect the ester function, thus inducing the solubility switch wanted in a resist film. These results serve as a building block to reconstruct the full picture of the mechanism in widely used chemically amplified resist thin films, provide a knob to tailor more performant resist materials, and will aid interpreting advanced ultrafast time-resolved experiments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Theoretical lithography performance prediction of photoresist material has important role to design better material but the exact prediction was still difficult because there are too many conditions to be considered together. We investigated the EUV-induced photochemical reactions of conventional triphenylsulfonium (Ph3S+; TPS) PAG-cation in both “electron-trapping” and “internal excitation” mechanisms using atomic-scale materials modeling. By obtaining full energy profiles of protonation process of TPS molecule, we could find that the acid generation yield strongly depends on two main factors: the LUMO of PAG-cation in which the lower LUMO of PAG-cation, the reduction step of PAG-cation is easier and the proton (H+) dissociation ability (pKa) at the ortho-positions of thiol ether fragment cation(Ph2S+), in which lower pKa will give high acid generation. By matching computational analysis with experimental results, we developed a two-parameter model to predict the EUV exposure Dose from the target PAG–cation’s LUMO and pKa of thiol ether-derivatives. We applied our new model to other three sets of TPS samples and they also shows good correlation with experimental data. Finally, we proposed a strategy to design new PAG molecules for sensitivity improvement by functionalization of TSP-cation with electron donating group. Our new strategy can be a powerful tool to design novel PAG cation for EUV photoresist for improving Resolution-LER-Sensitivity trade-off.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sequential infiltration synthesis (SIS) is becoming an important tool for resist hardening and formation of unique nanostructures. SIS is a variant of atomic layer deposition (ALD), in which the organometallic precursors are allowed to diffuse into the polymeric substrate before condensation. In contrast to ALD, the extended diffusion time in SIS potentially allows for extensive penetration into the substrate. An important parameter in SIS is the affinity of the precursor with the polymer substrate. Differences in affinity can be exploited, for e.g., for generation of patterned structures within block copolymers. To date, the interactions between the precursor molecules, for example trimethyl aluminium (TMA) have been inferred from in situ or ex situ vibrational spectroscopy. Potentially much richer information can be gained from NMR and transmission FTIR spectroscopy of solutions of precursor and polymer. Fundamental studies of PMMA and TMA allow identification and screening of novel polymer substrates for SIS. Previous studies have provided broad design rules for SIS; e.g., highly-polar and strongly basic structures enhance uptake of precursors. The precursor molecules such as TMA are Lewis acids and hence will associate with functional groups having base character. We have investigated SIS polymers that incorporate a stronger Lewis base group, sulfinyl, in poly((2-methylsulfinyl) ethyl methacrylate) (PMSEMA). Details of the interactions between TMA and PMSEMA in solution, and as films, and comparison with a range of other materials, provide information on potential of these materials for SIS.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe an exploration of small molecules capable of suppressing the solubility of polymers with pendent carboxylic acid groups. We evaluate the use of small molecules such as alkyl amines, benzyl bromide, N,N’-dicyclohexylcarbodiimide (DCC) and N,N-diisopropylcarbodiimide (DIC). We have combined polymers containing carboxylic acids with these reagents and evaluated their changes in dissolution rate in n-butyl acetate and 0.26 N TMAH. We have also conducted model reactions of non-polymeric carboxylic acids in NMR tubes to help characterize the reactions occurring with polymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Science stands on three legs: hypothesis, experiment, and simulation. This holds true for researching extreme ultraviolet (EUV) exposure of photoresist. Hypothesis: For resist exposure as patterns get smaller and closer together, approaching molecular units in width and resist-height, the molecular dynamics will limit the working resolution of the resist due to the formation of printing defects. Without taking proper consideration of these dynamics, the single-patterning lithography roadmap may end prematurely. Experimentally we are developing methods for sub-picosecond tracking of photoionization-induced processes. Using ultrashort pulses of light to excite and probe new materials with techniques that show the interactive dynamics of electronic and nuclear motion at the very limits of light-speed. This certainly holds true for exposing photoresists with EUV where ultrafast photoreactions induce chemical change via multiple pathways such as high-energy ionization fragmentation, recombination, and multispecies combination that ideally end in low-energy electron transfer reactions, analogous to lower energy photoreaction (but with a charge). In the nonideal case, these reaction processes lead to incompatible byproducts of the radiolysis that lead to types of stochastic defects. To do ultrafast studies we must build a foundation of knowledge using atomistic simulation to interpret transient molecular dynamic processes. Before we can do this, we need to learn how to simulate various spectral modalities to provide a starting point. In this work, we examine X-ray Photoelectron Spectroscopy of a model resist and use atomistic simulation to interpret the reactant-product composition of the spectral samples.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Non Chemically Amplified Resists for EUV Lithography I
In this paper, we report on a novel metal organic photoresist based on heterometallic rings that was designed for electron beam and extreme ultraviolet lithography. From initial electron beam lithography studies, the resist performance demonstrated excellent resolution of 15 nm half-pitch (HP) and a silicon dry etch selectivity of 100:1 but at the expense of sensitivity. To improve sensitivity, a 3D Monte Carlo simulation was employed that utilizes a secondary electron generation model. The simulation suggested that the sensitivity could be dramatically improved while maintaining high resolution by incorporating HgCl2 species into the resist molecular design. This considerably improved the resist sensitivity without losing the high resolution, where it was determined that the resist sensitivity was increased by a factor of 1.6 and 1.94 while demonstrating a resolution of 15 nm and 16 nm HP when exposed with electrons and EUV radiation respectively. Using x-ray photoelectron spectroscopy measurements, we show that after exposure to the electron beam the resist materials are transformed into a metal oxyfluoride and this is why the resist demonstrates high resistance to silicon dry etch conditions achieving a selectivity of 60:1 at a resolution of 15 nm HP.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Metal oxide (MOx) photoresists have matured into a leading platform for advanced-node EUV Lithography, particularly for tight pitches and High-NA applications. To meet the requirements for High-NA, there must be simultaneous improvements in resist design, processing, and metrology.
Here, we evaluate new resist and process modifications through detailed roughness analysis. Specifically, we evaluate the impact of resist formulation improvements and different development processes that take advantage of the MOx platform, and the performance of MOx resists at different film thicknesses. Additionally, we investigate the impact of etch and discuss strategies for metrology improvement as the industry prepares for High-NA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work we assess the effect of the change of counter-anions on the photolithography properties of butyl-Sn12 oxo hydroxo cages. The hydroxide anions were exchanged with tetrakis(pentafluorophenyl)borate (B(PFP)4)- and (phenyl) trifluoroborate (BF3Ph)- anions which exhibit a photoabsorption cross section at 92 eV that is similar to that of the butyl-Sn12 oxo hydroxo cages. The degradation of the EUV photoresist was monitored via in-situ EUV exposure followed by X-ray photoelectron spectroscopy (XPS) at the BEAR beamline (Elettra, Italy) at the C1s-edge. Both systems exhibit similar carbon losses of around 25% for 100 mJ/cm2 dose. The Sn12 cluster with acetate anions, as a reference compound, exhibit a loss of C1s XPS signal from the butyl chains of around 23% for the same 100 mJ/cm2 EUV exposure dose indicating a larger degradation of the Sn12 cluster for the latter. We also evaluated the patterning performance of the Sn12(B(PFP)4) resist via interference lithography at the XIL-II beamline (PSI, Switzerland) and found the positive tone character of the resist and its ability to write lines with 50 nm half pitch resolution for doses of 30 mJ/cm2. In contrast, Sn12(BF3Ph) acts as a sensitive negative tone resist, with doses of 12.5 mJ/cm2 sufficient to write 50 nm half pitch lines.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-NA EUV lithography required for continued extreme downscaling of Si devices demands ultrathin photoresists with high EUV patterning performance and etch resistance. This talk briefs our current efforts on synthesizing new organic-inorganic hybrid resists based on atomic layer deposition (ALD) techniques and characterizing their electron beam and EUV patterning characteristics. Two distinctive approaches are discussed–vapor-phase infiltration (VPI) and molecular ALD (MALD), where the former utilizes an infiltration of gaseous inorganic precursors into existing organic resists and the latter a cyclic layering of organic and inorganic moieties. Featured systems include an indium-oxide-infiltrated PMMA and a MALD hybrid resist comprising trimethylaluminum (TMA) and hydroquinone (HQ). Given the facile implementablility and control of resist composition and characteristics, the ALD-based hybrid resist synthesis has a potential for enabling high-performance EUV photoresist systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Indium nitrate hydrate films are evaluated as potential extreme ultraviolet (EUV) resists. The uniformity and stability of indium nitrate-based sol-gel precursor films are studied as a function of metal composition, concentration, chemical sources, precursor dissolution time, post-application bake (PAB) conditions, and relative humidity during the deposition. 0.1 M indium nitrate solution forms a 20-nm thick resist, ideal for EUV lithography. We find two types of defects: macroscale defects that are visible under an optical microscope and nanoscale defects that can only be detected using an atomic force microscope. Both types of defects are affected by humidity and dissolution time and are likely due to indium nitrate crystals. Once formed, indium nitrate hydrate films show great stability with no changes in defect density up to 3 weeks. Using a 92-eV electron beam as a proxy for the EUV source, preliminary studies show exposed films become insoluble after 10 min exposure (8 mC/cm2 dose), acting as a negative-tone resist. Results of in-situ Fourier-transformed infrared spectroscopy and residual gas analysis during the exposure show that the solubility switch is accompanied by the decomposition of nitrate species and the release of water.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Non Chemically Amplified Resists for EUV Lithography II
Research and development of EUV photoresists capable of supporting future requirements such as high-NA EUV continues. It is foreseen that, to contend with much higher photon-shot noise, resists will require high EUV absorbance to offset the need for thin films in high-NA, where depth of focus may be less than 20nm. We are developing a photoresist based on the multi-trigger concept, which seeks to suppress roughness using a new photoresist mechanism, and which is based on molecular rather than polymeric materials to maximize resolution. MTR Resist absorbance of greater than 18 μm-1 has been measured. Here we present recent NXE3400 results where, by modifying the PAG to optimize the reactions rates in the MTR mechanism, we have reduced the dose requirement compared to the orthodox high opacity MTR resists previously presented. Lines of 14 nm width at p28 nm can be patterned at a dose between 21 mJ/cm2 and 48 mJ/cm2 dependent on formulation ratio, with optimum LWR (3.9 nm, biased) occurring at 43 mJ/cm2 with a film thickness of 20.7 nm. Similarly, we present p34 pillars patterned between 21 mJ/cm2 and 59 mJ/cm2 doses for 17 nm diameter pillars, with a minimum LCDU for 19 nm diameter pillars of 3.05 nm occurring with a 21.7 nm FT at 58 mJ/cm2. The same resist can pattern p36 pillars at 52 mJ/cm2 with an LCDU of 3.44 nm at 18 nm diameter with no measured defects between 15.9 nm and 18.1 nm diameter. The impact of the substrate (such as use of various organic underlayers or SOG layers) on defectivity issues such as bridging or falling pillars will be presented here.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A single-component silsesquioxane (SQ)-based material was developed and investigated for patterning in extreme ultraviolet (EUV) lithography. This negative-tone SQ-material is soluble in the industry standard aqueous alkali developer 2.38wt% tetramethylammonium hydroxide (TMAH). Early experiments using electron beam (EB) lithography showed pattern capability in resolving 18nm line patterns (exposure dose: 2000 μC/cm2). After numerous screening evaluations using EB lithography, one variant was selected for patterning evaluation with EUV lithography. Patterning resolution was confirmed at 19nm line patterns (exposure dose: 200 mJ/cm2), with evidence of pattern modulation down to 15nm. As revealed in these preliminary patterning investigations, low sensitivity is the obvious issue. To understand the reaction mechanisms of this SQ-based material, various analyses were also carried out. Results reveal the occurrence of direct photo-crosslinking (no main scission) of Si-O-Si bonds from the decomposition of Si-OH components on exposure, resulting in insolubility in the developer solution (negative tone). Lastly, to address the sensitivity issue, the application of what we refer to as “Sensitivity Enhancer Unit” was utilized. EB lithography results show an improvement in sensitivity indicating a possible solution to the low sensitivity issue. These results show the potential applicability of the single-component SQ-based patterning material for both EUV and EB lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A biomass EUV non-CAR type resist is proposed as a sustainable material for reducing CO2 emissions. It was demonstrated that HP 8 nm L/S pattern formation is required for a 1.5 nm node device with a biomass EUV resist. Furthermore, two approaches for improving the EUV lithography performance of biomass EUV resists are proposed: resist structure and lithography process improvement. The pattern quality was improved upon improving the resist structure. In addition, the lithography process [pre-exposure metal infiltration (PreMi) process and the new lithography process] improved the sensitivity of the biomass EUV resist. This proves that the biomass EUV resist can be used in high-NA EUV lithography at 8 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme UV (EUV) lithography is entering full-scale production of high-end IC chips. This transition gives researchers in academia and industry ample motivation to propose new chemistries that will contribute to alleviating the resolution-line edge roughness-sensitivity trade-off dilemma of EUV lithography. We also have a great interest in the radical chemistry of carbon-fluorine bonds working under EUV and have explored its applicability as a platform for implementing novel EUV resists. While it was checked that the chemical concept is viable by using fluorinated small molecules and polymers, it needed to be upgraded in terms of patterning resolution and sensitivity. Recently, we extended successfully the radical-based strategy to the tin-oxo nano cluster resist concept. Soluble fluorinated tin-oxo clusters could be prepared, and they were cast into thin films from a fluorous solution. When the thin film was exposed to EUV radiation, it lost solubility, resulting in the formation of negative-tone images. Under an EUV lithographic condition, the thin film could be tailored down to 10 nm or smaller sized features. In addition, their unique solubility in chemically orthogonal solvents also enabled the build-up of a bilayer structure composed of a non-fluorinated reactive polymer underlayer without curing. The stacked film structure was found to be helpful for the sensitivity improvement. These results propose another interesting EUV resist candidate possessing unique capabilities in thin film processing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Polymeric photoresists are limited in their sensitivity, resolution, and line-edge roughness due in large part to their molar mass distribution and variation in composition of single polymer chains. While most synthetic polymers, have monomer units distributed randomly along the polymer chain, polypeptoids are, however, characterized by low stochastics i.e., identical chains with extremely low chemical, structural, and molar mass variability with a widely adjustable length and composition. In this work we describe the synthesis of 10 repeat-unit polypeptoids designed as a photopolymer and demonstrate their potential as CARs evaluated by electron-beam, DUV and extreme-UV lithography, obtaining well defined line-space patterns of less than 30 nm half-pitch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DUV photolithography, as the major process of nanofabrication, typically requires high volumes of toxic chemicals within resist formulation, solvent and developer. In this context, alternative chemistries to current petroleum-derived photoresists are proposed to reduce environmental impacts. Chitosan represents a bio-sourced resist allowing water-based patterning processes free of organic solvent and alkali-based developers, by substitution with a green solvent (deionized (DI) water). This paper present last stepwise process in the patterning integration with a chitosan-based resist. Preliminary results using a 300 mm pilot line scale at CEA-Leti demonstrate patterns resolution down to 800 nm along with plasma etch transfer into Si substrate. Finally, the environmental impact through life cycle analysis (LCA) of the whole process based on chitosan resist is assessed and compared to conventional solvent-based processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Titanium nitride (TiN) combines plasmonic properties in the visible and near infrared spectral region with good mechanical properties, high thermal and chemical stability making it a very promising alternative to noble metals for optical applications at high temperature or in extreme environments.
The authors present a new easy and fast process for the elaboration of micro-nanostructured TiN layers. This process combines photo-patternable TiO2 sol-gel by optical lithography and a nitridation process, by rapid thermal annealing (RTA). During this presentation, the elaboration of sol-gel, its structuring by different optical lithography methods, as well as its nitridation by RTA are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Infiltration synthesis of vapor-phase precursors is ex-situ route of converting established polymeric resists into hybrids. The concept initially modelled over polymethylmethacrylate (PMMA)-AlOx hybrid has been expanded to infiltrating several metal oxide moieties into high sensitivity resist (HSR). Beyond implementing single metal oxide species, alloyed infiltration is explored as a means to limit pattern roughness originating from granular crystallites. Lithography performance of these new generation of hybrid positive tone resists is assessed towards contact-hole patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As lithographic techniques advance in their capabilities of shrinking microelectronics devices, the need for improved
resist materials, especially for extreme ultraviolet (EUV), has become increasingly pressing. In this work, we study the
molecular layer deposition (MLD) of an Al-based hybrid thin film resist, known as “alucone,” extending our previous
research that tested the Hf-based hybrid thin film “hafnicone” as an EUV resist. Alucone is grown at 100 ºC using the
metal precursor trimethylaluminum and the organic precursor ethylene glycol. Like hafnicone, alucone behaves as a
negative tone resist that can resolve 50-nm line widths, though preliminary data suggest that alucone’s line patterns are
more sharply defined than those of hafnicone. Whereas hafnicone’s sensitivity is 400 μC/cm2 using 3 M HCl as the
developer, alucone’s sensitivity is not yet as good (4800 μC/cm2 using 0.125 M HCl). Our study of alucone offers new
insight into structural features of an MLD film that can lead to desired EUV-responsive behavior. This insight may
accelerate the development of vapor-deposited inorganic resists for use in electron-beam and EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet lithography (EUVL) technology is one of the promising high volume manufacturing processes for devices below 7nm. However, the technology still has several issues for HVM. Especially, RLS (Resolution, LWR, and sensitivity) trade-off remains as one of the obvious problems for resist patterning. In which, resist resolution is one of the challenges to make fine pattern. For fine patterning, High NA EUV is predicted as one of candidate for enabling the future generation of device manufacturing. In this situation, investigation of chemically amplified resist (CAR) is being intensively conducted as one of candidate material for high-NA EUVL. However, CAR has one of key challenge for mask transfer because it is expected that thin resist film thickness is applied to exhibit good lithographic performance. As one of the solutions for this issue, we focused on the novel material which selectively makes a growth of the pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TMAH, tetra-methyl ammonium hydroxide, aqueous solution is widely used as a photoresist developer. The resist development process is the final process of the resist patterning process, just before an etching process, therefore we have to pay attention to the cleanliness of the TMAH developer, especially metal contamination. The reason metal contamination is most concerning is that metal impurities typically can’t be detected by general pattern defect inspection tools. Additionally, metal impurities inside the resist and underlayer films can become etch stoppers because of the lower etching rate of the metal. This makes reducing metal impurities from TMAH aqueous solution critical. One of the convenient and promising devices to reduce metal impurities from chemicals are metal purifiers in form of the filter devices. Strong cation type ion-exchange media is widely used for metal reduction in various industries. When we applied this type of metal purifier to a TMAH solution, metal removal efficiency was low. We decided to develop new purifier media to reduce metal impurities in TMAH solutions. Using surface modified polyethylene (PE) media as the basic purifier media, we found that chelating modified PE showed the better metal removal performance. We also noticed a difference between non-woven PE and PE membrane on the metal removal performance. Finally, we were able to improve the metal removal efficiency by optimization of the functional group and membrane structure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) lithography has already utilized for high volume manufacturing, and miniaturization by numerical aperture (NA) 0.33 is approaching to the limit. Pitch 24 nm line and space (L/S) resist patterns can be resolved with single exposure at even NA 0.33. However, etch transfer performance to underlayer materials is one of the issues. Especially, in narrow pitch case, it is very difficult to etch due to the lack of resist mask resistance. Therefore, resist pattern thickening process with optimized development process and underlayer state was studied and verified the pattern height impact at our past paper. As a result, it found that combination of the underlayer (UL) kinds and their status was one of the key points to lead high-quality patterns. In this paper, optimized stack structure narrow pitch pattern and lithography performance. As a result, in experiments toward High NA EUV, 24 nm pitch L/S pattern could be patterned (near smallest size by NA 0.33) by selecting the optimal ML/UL combination, and some defect free process windows were kept between defect cliffs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resolution, line edge roughness (LER) and sensitivity (RLS) and defectivity are the well-known critical issues of extreme ultraviolet (EUV) lithography. To break the RLS triangle, metal oxide resist (MOR) is a promising candidate. However, further improvement of MOR process is required for high volume manufacturing to maintain low defectivity. In this paper, conventional and new processes for MOR pitch 32 nm line and space (L/S) and 36 nm pillar patterns was investigated. This new process was able to perform good sensitivity without degrading roughness. In addition, further optimization for underlayer and developer process could mitigate pattern collapses. MOR treatment was evaluated as another technique for roughness improvement. At last, bottom scum defect would be reduced by new process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-NA EUV lithography is currently under development to keep up with device node scaling with smaller feature sizes. In this paper, the most recent advances in EUV patterning using metal oxide resists (MOR) and chemically amplified resists (CAR) are discussed. A newly developed resist development method (ESPERT™) was examined on MOR with 24 nm pitch line and space (L/S) patterns and 32 nm pitch pillars for preparation of high-NA EUV patterning. The patterning results showed improved sensitivity and pattern collapse margin. CAR contact hole patterning at 28 nm pitch was also examined by stochastic lithography simulation. The simulation results indicate that resist film thickness needs to be optimized for target pitches.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High resolution, line edge roughness, and sensitivity are the key performance factors to accelerate EUV lithography into high volume manufacturing. EUV is still a developing technology with several intriguing components, such as high NA exposure system and metal oxide resist [1,2]. In terms of cleanliness, the photoresist (PR) and Spin-on carbons (SOC) in underlayers need to have the same level of cleanliness from defect sources to meet the yield targets in the successive photolithography process after exposure. Filtration technology to remove defect sources from raw materials are continuously evolving to adapt to unique behaviors and compatibility of EUV materials. UPE (ultrahigh molecular weight polyethylene) filtration is a critical technology to remove small particles consistently. In this study, UPE filter development is examined to meet the needs of EUV materials. The filter performance was evaluated with underlayer materials. A new design of UPE membrane morphology achieved significant improvements. The details of the extensive experimental result are discussed in the report.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Despite their long history in the electronics industry, copper metal layers remain important components as interconnection layers in IC fabrication due to their higher thermal and electrical conductivity as well as their higher electromigration resistance. Structuring the copper metal layer via wet chemical etching places demands on the photoresist mask, requiring resistance to harsh etch chemistry and good adhesion to the substrate to prevent delamination and defects. The photoresist formulation AZ® TD-2010 is a positive-tone, DNQ-based i-line photoresist that incorporates an additional surface-grafting component to deliver improved etch performance via enhanced photoresist adhesion on metal substrates. The in-situ priming of the photoresist formulation during the patterning process leads to a greater interfacial adhesion, resulting in steep sidewalls, with a greater than 20° increase in etch angle over formulations without adhesion promoter, while maintaining undercut depth and Cu CD. The AZ®TD-2010 photoresist can also be used at high thickness to cover topography steps formed from underlying layers, while also exhibiting high enough photospeed to maintain production throughput standards for IC manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the progress of advanced packaging, the RDL metal line and μBump stability and super electrical performance were highly concerned. The photoresist with undercut profile is required to obtain the RDL metal line and μBump with footing. The AZ® 3DT-400 series formulation is a chemically amplified positive-tone i-line photoresist developed by EMD Electronics which a special additive was introduced to generate the undercut profile. The undercut size and shape can be adjusted with the loading of the additive. After optimizing the formulation, the desired undercut length larger than 10% of the target CD and the undercut height less than 10% of target CD were achieved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the patterning resolution of semiconductor manufacturing increases, so does the need to remove critical defects from the photochemical supply chain. In particular, metallic contaminants have been known to lead to various types of defects such as cone defects that contribute to significant yield loss 1. Hence, control of metallic contaminants is critical for these next generation lithography processes. Previous work has introduced the Purasol™ LS2 solvent purifier, capable of superior metal reduction in a wide range of photochemical solvents 2. Current work focuses on purification of polymer solutions, a key intermediate material in the photochemical ecosystem. We present data detailing the ability of the LS2 purifier to remove metals from a poly-(4-hydroxystyrene) (PHS) polymer solution. Purifier membrane in coupons as well as an LS2 purifier device are used in a series of experiments to investigate the metal removal efficiency in the polymer solution as a function of various experimental parameters. Metal removal is quantified using ICP-MS (inductively coupled plasma mass spectroscopy) measurements. In addition, we also present customer data demonstrating the effectiveness of the LS2 in purifying polymer solutions to reduce defects that degrade yield performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We introduce thin underlayer (UL) materials (<10 nm) for metal oxide resist (MOR) that can support the lithography performance requirements as well as compatible with conventional etching tool and etching process. Thin UL materials for MOR patterning applications required to have chemical moieties with specific functions and excellent physical properties to meet both lithography and etching performance requirements. We investigated the relationship between surface properties of thin UL materials and its effects on MOR sensitivity, pattern collapse, and defects. We also discussed plausible mechanism based on our experimental results. In addition, we have also confirmed the impact of high EUV absorption unit effect in UL materials on MOR sensitivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose a method for easily determining the extent of solubility change of chemically amplified resist (CAR) films with different types of extreme UV (EUV)-absorbing elements. The concentration of acid molecules depends on the production yield of secondary electrons, and it affects the deprotection reaction rate in the resist and ultimately the residual resist film thickness. Materials capable of increasing EUV absorption were prepared by incorporating tin, iodine, or hydrogen in a model fluoroalkylated copolymer. A CAR film containing a proton exchange component was spin-coated on a substrate, and a thin film composed of one of the fluoroalkylated copolymers containing elements and a photoacid generator was cast thereon. Under EUV irradiation, the iodine containing copolymer increased the decomposition rate of the CAR film, unlike the case of the tin- or hydrogen containing copolymer. For practical use, we prepared an iodinated sensitizer based on a CT contrast agent, iohexol, for utilizing it as an EUV sensitizer in an EUV lithography (EUVL) experiment, and it was found that the iohexol-based iodinated sensitizer could simultaneously act as a sensitizer and a base quencher. These results show that iodinated compounds can help enhance the patterning performance of CARs in EUVL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV chemically amplified resists (CARs) have had big problems about pattern defects due to stochastic effects from photon shot noise and acid diffusion blur less than 13 nm HP. There is a trade-off relationship between resolution, line width roughness (LWR), and sensitivity (RLS trade-off). Moreover, etching durability of CARs have also been gradually surfaced as an issue due to reducing film thickness to prevent pattern collapse. In the circumstances, some of the new resist platform have been investigated. Above all, metal oxide resist and dry resist were particularly achieved good patterning performance and etching durability as negative type resist by using metal component in the systems. However, EUV lithography mainly need positive tone resist for logic device patterning. From these viewpoints, we aimed to make an organometal positive tone EUV chemically amplified resist concept based on our negative type metal resist. Previously, we proposed a novel chemically amplified resist platform that consists of polarity changer, organotin compound and others. Polymers having triarylsulfonium cations, organotin compound and diarylmethanol as side chains were designed to realize a negative imaging property. Upon exposure to EUV or electron beam (EB), the ionic component is transferred to nonionic component through the decomposition of polymer-bounded onium cation (PBC). The polymer molecules are also crosslinked through the acid catalytic etherification. We demonstrated a high sensitivity and low LER property by using acetonitrile aqueous solution developer. This resist obtains a dissolution contrast to polar solvent by changing to hydrophobic structure though the decomposition of the onium cation and crosslinking. Presumably, this resist can convert from negative to positive tone by changing the part of reaction for making dissolution contrast from cross-link to chain scission and developer from polar solvent to nonpolar solvent for exposed material dissolution. After some modification of the resist material, we demonstrate positive tone imaging by using alkyl acetate as a developer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Multi-RDL architectures in WLP are pushing established materials like polyimide to their limits. Key limitations of these material are high temperature curing and shrinkage which leads to warpage and yield losses. We are developing a dielectric packaging material that has minimal stress upon curing while being compatible with established packaging processes. Another goal is to keep dielectric constant and loss factor as low as possible to ensure compatibility with high frequency applications. Additional feature for this new polymer class is high temperature stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For many years ionic liquids (ILs) have attracted the interest of the scientific community, finding new applications in green chemistry, chemical engineering, environmental science, and others. All applications have emerged due to ILs unique physiochemical properties like negligible volatility, high thermal stability, low toxicity, and very wide range of structural diversity. In our research we develop and exploit all of the advantages associated with the ILs molecules for lithographic patterning, expanding their applications to lithography resists. In this work we present the results of patterning achieved for different types of ionic liquids with vinylbenzyl and trimethoxysilyl groups.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fullerene-based spin-on-carbon enables very high carbon content, and shows very high thermal stability, and etch resistance approaching amorphous carbon. Here we describe the performance of the HM1300 fullerene SOC, including results using high temperature inert atmosphere curing. Ohnishi numbers below 1.44 are achieved (measured by elemental analysis) and etch performance improved over the standard bake. A new high carbon crosslinker, designed to link directly to the fullerene cage, and with a carbon content comparable to the fullerene (significantly higher than the previous crosslinker) is also introduced. The new crosslinker is designed to enhance both etch and thermal stability performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The improvement of accuracy and efficiency in simulating the profile of the chemically amplified resist (CAR) is always a key point in lithography. With the development of machine learning, many models have been successfully applied in optical proximity correction (OPC), hotspot detection, and other lithographic fields. In this work, we developed a neural network for predicting the critical features’ sizes of the CAR profile. By using a pre-calibrated physical resist model, the effectiveness of this model is demonstrated from numerical simulation. The results indicate that for the critical dimensions (CDs) of the CAR profile, this model shows great speed and accuracy. After applying the tuned neural network on the test sets, it shows 92.98% of the test sets have a mean square error (MSE) less than 1%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Conventional chemically amplified resists for extreme ultraviolet (EUV) lithography are comprised of three fundamental components: a photoreactive, acid-generating species (PAG), an acid reactive polymer for solubility switching, and a basic component for acid diffusion control. The PAG component is typically derived from an organic onium salt, wherein the cation’s capacity to capture secondary electrons generated upon EUV irradiation of the resist underscores their reactivity in lithographic applications. Thus, effective rational design of these materials is critical for controlling both sensitivity of the resist and feature regularity. Herein, we describe a robust method for in silico prediction of fundamental properties of onium cations including electron affinity, LUMO energy, and relative charge distribution. We correlate these theoretical values to experimental measurements and further to the influence of PAG cation properties on resist performance under EUV exposure. In addition to the reactive properties of these cations, we analyze these lithographic data in the context of the physicochemical properties of the cations, particularly polarity. In all, the results of this study suggest that while electron affinity of the PAG cation may drive reactivity in response to EUV exposure, multiple factors must be considered in the design of cations for optimal overall resist performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically amplified resist (CAR) materials are widely used in advanced node patterning by extreme ultraviolet lithography (EUVL). To support the continuous requirement of reducing critical dimension (CD), CAR has been designed to process at tens of nanometer coating thickness while taking into consideration film roughness, aspect ratio, and etch transfer challenge. In this study, we investigated the impact of the photoresist’s different spin speed for same film thickness on resolution, line width roughness, and sensitivity (RLS) trade-off for Line and Space (L/S) patterns. We selected photoresists with identical chemical composition that differed only in total wt solid% in the solution. Photoresist films at constant thickness were investigated for the spin speed impacts on photoresist film density, hydrophobicity on the film surface, and film surface roughness. The corresponding EUV lithographic performance will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe two studies that examine how the enhancement and suppression of the solubility of a 193-nm positive-tone photoresist can be manipulated using crosslinking, thermal-activation and variable-temperature bakes. In our first study, we describe the development of a thin, polymeric film that can transition through three solubility regimes as a response to increasing bake temperatures: (1) low temperature, developer insoluble; (2) medium temperature, developer soluble; (3) high temperature, developer insoluble.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In EUV resists, due to the high energy of the incident photons, most of the radiation chemistry arises from the emitted electrons and not the EUV photons themselves. The absorption of an EUV photon by a resist film leads to the emission of a primary electron, which, through a cascade of inelastic scattering events, causes the excitation of molecules and emission of secondary electrons. Those electrons play a leading role in EUV patterning, initiating chemical transformations. To characterize electron induced chemical transformations in photoresist materials we exposed thin films of model resists to an electron beam and quantified electron induced changes employing FTIR spectroscopy. Combination of polymers with PAG served as model resists. Employed electron energies varied from 20 to 80 eV to study the effect of fast primary electrons as well as slow secondary electrons on photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As technology nodes continue to scale down, the full ecosystem around Extreme Ultraviolet Lithography (EUVL) is becoming more mature and proactive in the anticipation of upcoming challenges. To keep up with the technology roadmap evolution, lithography track performance capabilities have also been rapidly expanding through the years and new modules are being specially designed to support the lithographic performance improvement of different materials. In this work, we showcase the capability of novel hardware solutions currently available on SCREEN’s DT-3000 coat-develop track system. Based on a holistic approach, we demonstrate how hardware development is still a key not only to improve process stability and drive down defectivity to historically low levels but also to boost other metrics such as line width roughness (LWR), defect-free process window, and pattern shape.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Traditional resist materials have faced challenges as the extreme ultraviolet (EUV) light source with a wavelength of 13.5 nm brought the evolution of lithography to the semiconductor industry. A significant issue in the development of resist materials or the discovery of new type resists is that numerous parameters involved in the resist pattern printing process cause the generation of defects. Meanwhile, the inherent chemical variation in resist materials and processes causes the stochastic defects. In addition, the stochastic defects caused by the inherent chemical variation in resist materials and processes become increasingly significant as feature scales continue to shrink. Consequently, the number of pattern data with failures is much greater than those without defects. However, by utilizing the information contained in pattern failures, chemical parameters can be adjusted to improve resist resolution. In this study, a new method is proposed for evaluating resist patterns with defects by fitting the experimental scanning electronic microscopy (SEM) images of line-and-space patterns with defects to simulated images.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Since 2019, the extreme ultraviolet lithography (EUVL) has been applied to the high-volume production of devices. For further scaling, high-numerical aperture (NA) tool and resist materials applicable to high-NA EUVL are required. However, there are no resists applicable to high-NA EUVL. These days, resist materials containing Sn whose EUV absorption cross section is particularly high are attracting much attention. In this research, radiation-induced reaction mechanisms of Sncomplex- side-chain polymers were investigated to obtain the guidelines of material design.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Irradiation effects of poly(methyl methacrylate) (PMMA) induced by femtosecond-pulsed extreme ultraviolet (EUV) were investigated using Soft X-ray free electron laser (SXFEL) for realization of next generation extreme ultraviolet free electron laser (EUV-FEL) lithography. The sensitivity of PMMA upon exposure to femtosecond-pulsed SXFEL was much higher than that measured for conventional nanosecond-pulsed EUV source. The sensitivity enhancement upon exposure to femtosecond-pulsed SXFEL is similar to the result obtained using laser-induced-plasma based Soft X-ray laser (SXRL) (picosecond-pulsed EUV). This result speculates the reactions induced by femtosecond-pulsed SXFEL and picosecond-pulsed XRL were almost same, but it was different from those induced by nanosecond-pulsed EUV.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently chemically amplified resists are approaching their performance limits due to the fixed development process. In this study, the dissolution, swelling, and impedance change of resist polymers were measured by a development analyzer with a quartz crystal microbalance method. The resist polymer was poly(4-hydroxystyrene) (PHS), the hydroxyl groups of which were partially protected with t-butoxycarbonyl groups. The alkyl chain lengths of tetraalkylammonium hydroxide were varying from methyl to pentyl groups. When the alkyl chain length of TAAH increased from two to three, the dissolution mode markedly changed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.